Paper
19 March 2018 Aerial image based metrology of EUV masks: recent achievements, status, and outlook for the AIMS EUV platform
Renzo Capelli, Dirk Hellweg, Martin Dietzel, Markus Koch, Conrad Wolke, Grizelda Kersteen
Author Affiliations +
Abstract
For upcoming EUV high volume manufacturing, the EUV mask infrastructure plays a central role for its successful introduction. One of the key items in the EUV mask infrastructure is the need of manufacturing defect free photomasks for which an actinic mask review capability is a critical success factor. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology system, the AIMS™ EUV. In this paper we present the latest achievements of this AIMS™ EUV platform together with data and analysis of LER/LWR measurements in the aerial image. We provide an overall project overview and discuss possible future extensions options based on this actinic metrology platform.
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Renzo Capelli, Dirk Hellweg, Martin Dietzel, Markus Koch, Conrad Wolke, and Grizelda Kersteen "Aerial image based metrology of EUV masks: recent achievements, status, and outlook for the AIMS EUV platform", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 1058311 (19 March 2018); https://doi.org/10.1117/12.2297681
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Photomasks

Line width roughness

Extreme ultraviolet lithography

Stochastic processes

Scanners

Semiconducting wafers

RELATED CONTENT


Back to Top