Paper
5 April 2018 Multi-beam mask writer MBM-1000
Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Takao Tamura, Kenji Ohtoshi
Author Affiliations +
Abstract
Multi-beam mask writer MBM-1000 is developed for N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with 300-Gbps blanking aperture array (BAA) and inline real-time data path. It has better beam resolution than EBM-9500 and has higher throughput at shot count more than 500 Gshot/pass. To further improve patterning resolution, pixel level dose correction (PLDC) is implemented to MBM-1000. It performs dose contrast enhancement by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with threshold dose model. It is concluded that PLDC corrects linearity efficiently even without extra dose modulation, and improves dose margin with additional dose modulation of 140%.
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroshi Matsumoto, Hideo Inoue, Hiroshi Yamashita, Takao Tamura, and Kenji Ohtoshi "Multi-beam mask writer MBM-1000", Proc. SPIE 10584, Novel Patterning Technologies 2018, 105840H (5 April 2018); https://doi.org/10.1117/12.2299885
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Modulation

Photomasks

Photoresist processing

Electron beam lithography

Optical lithography

Beam shaping

Convolution

Back to Top