Presentation
20 March 2018 Exploration of post-lithography smoothening methods applied to 16nm half-pitch EUV lines and spaces (Conference Presentation)
Frederic Lazzarino, Ming Mao, Sara Paolillo, Suseendharan Sakthikumar, Danilo De Simone, Alain Moussa, Nadia Vandenbroeck, Daniele Piumi, Kathy Barla
Author Affiliations +
Abstract
Year after year, the semiconductor industry overcomes a tremendous amount of technical challenges to satisfy Moore’s law. Through innovative device architectures, smart design, new integration and patterning concepts, better tools and new materials, the industry has successfully reached the 7nm technology node. Both design and patterning options are identified and the high volume manufacturing readiness is expected end of 2018. Today, the industry is preparing for the 5nm technology node (N5) while research centers start identifying and exploring the different patterning options for the 3nm technology node. The former targets a Metal 2 Pitch (M2P) of 32nm and a Contacted Poly Pitch (CPP) of 42nm while the latter aims for a M2P of 24nm and a CPP of 32nm. At such tight metal pitches and in view of the continuous progress in EUV tool performance, a single print EUV lithography is considered as a potential patterning option for N5 to pattern critical Back-End-Of-Line (BEOL) layers such as block, via and unidirectional metal lines. However, without the emergence of improved EUV photoresist (PR) platform that meets requirements for resolution, line edge roughness and sensitivity, we can expect a very limited available PR budget for pattern transfer (between 12nm and 30nm), an increase of defects such as bridging or line interruptions and finally a degradation of the sidewall roughness. These will contribute to the total CD variation and consume an important part of the overall Edge Placement Error (EPE) budget. Hence, actual patterning methods used to smooth and transfer down the PR pattern must be significantly improved and new solutions must be explored to enable the emergence of advanced technologies. In this work, we explore different post-lithography methods to overcome challenges related to EUV-based patterning at tight pitches. Both chemically amplified PR and metal-based PR are considered and the performance of the different approaches are evaluated step-by-step using top down SEM imaging, cross-section SEM and 3D-AFM. Finally, we complete the study showing Power Spectral Density (PSD) analysis that help to understand how the roughness is distributed in the frequency domain for the different studied methods.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Frederic Lazzarino, Ming Mao, Sara Paolillo, Suseendharan Sakthikumar, Danilo De Simone, Alain Moussa, Nadia Vandenbroeck, Daniele Piumi, and Kathy Barla "Exploration of post-lithography smoothening methods applied to 16nm half-pitch EUV lines and spaces (Conference Presentation)", Proc. SPIE 10589, Advanced Etch Technology for Nanopatterning VII, 105890A (20 March 2018); https://doi.org/10.1117/12.2302640
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Optical lithography

Extreme ultraviolet

Metals

Extreme ultraviolet lithography

Scanning electron microscopy

Back end of line

High volume manufacturing

Back to Top