Open Access Presentation + Paper
24 October 2018 Current challenges and opportunities for EUV lithography
Author Affiliations +
Abstract
The semiconductor industry is on the threshold of using extreme ultraviolet (EUV) lithography in high volume manufacturing (HVM). Nevertheless, there are several areas where improvement in this lithographic technology would be very beneficial, most notably exposure tool reliability (particularly the light source) and mask contamination. These areas have important consequences for productivity. Future generations of EUV lithography are expected, but there are several challenges to be overcome, particularly in the areas of resists and computational lithography. A replacement for chemically amplified resists may be required. Regardless of resist type, exposure doses must be sufficiently high to prevent photon shot noise from causing high levels of yield loss. Computational lithography for next generation EUV lithography will be very complex.
Conference Presentation

1.

INTRODUCTION

EUV lithography was conceived as a potential successor to optical lithography in the late 1980`s.1 Now, after decades of research and development, the semiconductor industry is poised to use this lithographic technology in high volume manufacturing. Nevertheless, improvements in a few areas, particularly exposure tool reliability and mask contamination, would greatly increase the cost-effectiveness of EUV lithography. There is great potential for additional generations of EUV lithography, but realizing these requires that several technical challenges be addressed. Of course, it has always been true that engineers need to solve complex and interesting problems in order to realize every new generation of lithographic technology. For EUV lithography, the problems to be solved are formidable, but soluble.

2.

THE CHALLENGES OF FIRST GENERATION EUV LITHOGRAPHY

2.1

What constitutes first generation EUV lithography

It appears that logic makers will be the first companies to introduce EUV lithography, at the foundry 7-nm node. Since much of the technology development for this node will have been done using optical lithography, a minimum metal pitch of 40 nm is anticipated, as this is the practical limit for patterning approaches such as self-aligned double patterning (SADP). A tighter metal pitch would require self-aligned quadruple patterning (SAQP) or a similarly complex patterning approach. For EUV lithography, 40-nm pitch can be done with k1 = 0.49, which is large compared to k1 values used for several of the most recent generations of optical lithography. This means that engineers can address some of the practical aspects of EUV lithography at the point of introduction to HVM, while pushing the limits of resolution can be deferred until the second generation. Exposure tool reliability and mask contamination are two of these practical considerations that will be discussed further in this paper.

2.2

Exposure tool reliability

The light source for EUV lithography has long been recognized as a technical challenge. Prior generations of lithographic technology were practiced at wavelengths where there were source of intense and narrow-band light, such as the spectral lines of mercury or wavelengths achievable with excimer lasers. On the other hand, EUV lithography must necessarily be practiced at a wavelength where there are high-reflectance multi-layer reflectors. This has led to complex EUV light sources, where EUV light is produced from plasmas generated from tin droplets and intense pulses of infrared light.2 There are several considerable engineering challenges associated with these light sources. First, their complexity has made it difficult to achieve good reliability that is suitable for high-volume manufacturing (HVM).

Second, the source efficiency continuously degrades as tin droplets and other debris land on the condenser mirror. Third, it has been difficult to achieve the high power needed for good exposure tool throughput with doses high enough to void high levels of stochastics-induced defects.

Good equipment reliability is important for cost-effective manufacturing. Equipment downtime directly reduces the return on capital investment, which is considerable for EUV exposure tools. There are also direct maintenance costs, such as salaries for highly skilled maintenance technicians and investment in spare parts inventories. Equipment reliability also has an impact on rework and cycle time. Improvement of EUV exposure tool reliability is expected to be a problem requiring continuing engineering attention past the first use of EUV lithography in HVM.

2.3

Mask contamination

Although EUV pellicles comprised of very thin films were conceptualized many years ago,3 only recently has concerted engineering effort been applied to bringing such pellicles to practice.4 While there has been excellent progress in the development of EUV pellicles,5 production-worthy EUV pellicles have not yet been produced in volume and are not yet available from a commercial source. The characteristics of HVM quality pellicles are summarized in Table 1.

Table 1.

The characteristics of HVM-worthy EUV pellicles. The requirement for defect inspection becomes moot should actinic patterned mask inspection tools become available.

ParameterValue or characteristic
Transmission> 90% single pass
StrengthCan withstand g-forces during shipping and during scanning Robust with pressure changes during vacuum cycling
LifetimeSufficiently long lifetime to add < $1 to wafer costs
Defect inspectionSufficient transmission to enable inspection at ~193 nm

Because pellicles are currently unavailable for EUV lithography, other measures need to be taken to deal with contamination that can occur during mask transport and usage. Such contamination can indeed occur, and it has been observed by practitioners of EUV lithography to occur with sufficient frequency to justify concern for repeating defects that can reduce yield significantly.6 The potential for contamination of masks with such defects requires procedures to ensure good yield.

One method for ensuring that product wafers with repeating defects are reworked and not etched is to expose special wafers which are designed to provide good sensitivity for defect detection. The flow of such a qualification process is shown in Fig. 1. It should be noted that such an approach was adopted for early stepper lithography,7 before pellicles became widely available or for qualifying masks with pellicles, before mask inspection tools could inspect pelliclized reticles. Now, as then, the need for qualifying reticles to be defect free is highly disruptive to production flows, and pellicles, when available, are expected to greatly improve the productivity of EUV lithography.

Figure 1.

Process flow for checking for defects on EUV masks that lead to repeating defects on wafers

00048_PSISDG10809_1080903_page_3_1.jpg

3.

SECOND GENERATION EUV LITHOGRAPHY

3.1

Overview

Once the practical issues described in the prior section are solved, thereby enabling a productive first generation of EUV lithography, there will be significant new challenges associated with the second generation. This is in contrast to what transpired during prior transitions in lithographic technology. For example, in going from i-line to DUV lithography, several noteworthy issues had to be addressed, such as the poisoning of chemically amplified resists (see Fig. 2) and the development of reliable KrF excimer lasers. After these problems were solved, the next generation of DUV lithography still required that new problems be solved, such as the design and fabrication of higher NA lenses, and improvements in overlay were needed to meet scaling requirements, but the difficulty of these problems did not rise to the same level as those required to enable first generation DUV lithography, such as the introduction of a new type of photoresist. On the other hand, second generation EUV lithography will require the solution to several very difficult problems. Two of these, involving resists and computational lithography, will be discussed further in this section.

Figure 2.

T-topping in a DUV resist that resulted from poisoning by airborne amines.

00048_PSISDG10809_1080903_page_3_2.jpg

3.2

EUV resists

Defects induced by stochastics become very significant as dimensions shrink. In prior work, it was seen that defect densities increased exponentially with feature size,8 increasing orders of magnitude in going from the dimensions associated with the foundry 7-nm node to those anticipated with the 5-nm node. (Fig. 3) This is in stark contrast to prior defect models, where defect rates were projected to scale geometrically to the third power of the defect size.9 With such models with geometric scaling, the defect rate would be expected to increase only ~3× from node to node. If we assume that the metal pitch for the 7-nm node is 40 nm, then a full node shrink involves a metal pitch ≤ 28 nm. Yielding even simple test structures at such dimensions has yet to be achieved with densely-patterned areas of multiple mm2. One of the reasons that resists supporting dimensions appropriate for the 5-nm node failed to yield was an artificial constraint of low exposure doses, which time after time resulted in processes with high levels of defects resulting from photon shot noise. It has been noted previously that there are trade-offs among resolution, exposure dose and process control (LER/CD uniformity/stochastics-induced defects), and that two of these may be chosen; the third is then constrained by those choices.10 For processes intended for manufacturing, process control must be one of the choices, as yielding product is the ultimate goal of manufacturing. As already noted, the resolution requirements for the 7-nm node are not very tight, so exposure doses can be somewhat low. (Fig. 4) The 5-nm node requires higher resolution, so exposure doses will need to be increased. All data are consistent with it not being possible to yield tight pitches with doses < 60 mJ/cm2 due to photon shot noise.

Figure 3.

Measured defect rates for a particular chemically amplified EUV resist process as a function of feature spacewidth. The particular defect metric pixNOK is discussed in more detail in Ref. 8.

00048_PSISDG10809_1080903_page_4_1.jpg

Figure 4.

The choices for setting process conditions.

00048_PSISDG10809_1080903_page_4_2.jpg

However, even using high exposure doses, yielding with chemically amplified resists still has proven elusive. This is seen in Fig. 5, where multiple chemically amplified resists were exposed on the microexposure tool (MET) at Lawrence Berkeley National Laboratory. At low doses, the minimum LER is consistent with it being limited by photon shot noise. At higher doses, there appears to be a floor to how low LER goes, and the photon shot noise limit is never achieved. This indicates that there is also a materials-related contribution to LER.

Figure 5.

LER versus dose for resist exposed on an 0.3 NA microexposure tool at LBNL. Each diamond represents the result from an individual resist sample. The solid line is the LER estimated from a simple photon shot noise model.14

00048_PSISDG10809_1080903_page_5_1.jpg

It might be postulated that the data in Fig. 5 did not represent a fundamental materials issue, but merely reflected the specific choices of chemicals by the suppliers who provided the resists. That there is indeed a fundamental issue with chemically amplified resists was confirmed by the simulation study of Smith, et al., in which the LER behavior of a wide array of virtual resists was analyzed.11 This study also produced a similar floor to LER at higher doses. To the extent that the models used by Smith and coworkers accurately characterized chemically amplified resists, this further indicates a fundamental materials limitation for chemical amplified resists.

The relevant stochastic noise pertinent to photons relates to the absorbed photons, not just the incident ones. Increasing the optical absorption of EUV resists can help reduce the yield impact of photon statistics without a direct increase in exposure doses. Chemical elements that are highly absorbing at EUV wavelengths are typically not used to make resists,12 so new chemistry needs to be explored. Many of these elements are considered chemical contaminates in semiconductor processing, so contamination issues will need to be addressed if such elements are incorporated into EUV resists. Nevertheless, increasing the optical absorption of EUV resists will likely produce important benefits for resists used at the 5-nm node.

Fluctuations in resists at the molecular and mesomolecular level have been noted for some time,13 so it is not surprising that such fluctuations should contribute to LER and stochastics-induced defects. Chemically amplified resists are multi-component, and there can be fluctuations and inhomogeneities for all components. This suggests that chemically amplified resists are particularly prone to stochastic fluctuations.

For over two decades, chemically amplified resists have been the workhorses for advanced semiconductor lithography. However, the time appears to be approaching when a new resist platform is needed. Some key characteristics of a suitable replacement are listed in Table 2. Since moderately high doses will be required to avoid excessive photon shot noise, the primary advantage of chemical amplification – sensitivity at low doses – becomes moot. Of course, excessively high doses result in a situation where EUV lithography will not be cost-effective, so some attention will still need to be paid to exposure doses. What is considered a cost-effective dose will depend on progress for increasing EUV source power.

Table 2.

Characteristics of high resolution resists.

Characteristic
Few components (one is best)
Individual components need to be small
Moderate doses

3.3

Computational lithography

Early proponents of EUV lithography contended that the relative of simplicity of OPC was an advantage of EUV lithography relative to optical lithography.15 This is true in the sense that complex shapes – jogs and serifs – have been less necessary for EUV lithography compared to optical lithography. However, the computational lithography problem is far more complex for EUV lithography, because there are many physical phenomena, several of which are unique to EUV lithography, which need to be considered and balanced simultaneously. Phenomena which need consideration for EUV lithography are summarized in Table 3.

Table 3.

Phenomena that need to be considered for EUV OPC.

PhenomenaRequirements
Conventional OPC considerationsCDs within specifications over a suitably large process window MEEF < 4
Mask 3D effectsSmall differences in best focus through pitch Imaging blurring Pattern placement shifts with focus
Resist modelsCapturing complex physics into computational efficient models
StochasticsNeed to maintain large NILS
AberrationsAddress across slit, tool-to-tool
Out-of-band light and flareIncorporate into OPC models

Mask 3D effects are phenomena that are significant for EUV lithography, a difference from optical lithography. Changes in the plane of best focus through pitch have been known for a long time,16 and the lines comprising 2-bar structures were found to have different planes of best focus when symmetric illumination is used.17 This latter problem can be ameliorated by using asymmetric illumination. It has also been found that images from different source points can be displaced laterally, resulting in blurred images.18 This blur can be minimized by suitable restrictions on the illumination, but these need to be balanced with the need to adjust the illumination to maintain good global depth-of-focus.

Pattern shifts through focus have been identified as manifestations of mask 3D effects.19 Process-window OPC will need to include shifts of the center lines of features, not just edges individually. This sensitivity to focus, particularly when there may be different planes for best focus for different features, indicates that EUV lithography will require very tight focus control. Independent of the mask 3D effects, it has also been found useful to have larger normalized image log-slopes (NILs) than typically used for optical lithography,20 in order to minimize LER and stochastic yield failures. This represents another constraint on OPC optimization.

The continued use of chemically amplified resists interjects additional complexity to OPC, since the blur from the processes involved in the post-exposure bake step can be highly variable through pitch.21 For some pitches, the image may be effectively blurred, but for others the image can effectively be sharpened. It will be challenging to account for this in computationally efficient models. This may provide further motivation to replace chemically amplified resists.

4.

SUMMARY

The semiconductor industry is on the threshold of using EUV lithography in high volume manufacturing at the 7-nm node. Nevertheless, additional improvements, particularly for exposure tool reliability and mask defects, will be beneficial. There are considerable new challenges associated with EUV lithography at the 5-nm node. Chemically amplified resists may not be capable of supporting the 5-nm node, and OPC optimization will be very complex.

5.

5.

REFERENCES

[1] 

H. Kinoshita and O. Wood, “EUV lithography: An historical perspective,” Chapter 1: 1-55.in EUV lithography, 2SPIE Press(2018). Google Scholar

[2] 

I. V. Fomenkov, D. C. Brandt, A. I. Ershov, A. A. Schafgans, Y. Tao, G. O. Vaschenko, and B. La Fontain, “EUV sources for high-volume manufacturing,” Chapter 3A, 109 –163 (Google Scholar H. Mizoguchi, T. Yamazaki, T. Yanagida, K. M. Nowak and T. Saito, “High-power EUV source by Gigaphoton for high-volume manufacturing,” Chapter 3B: 165-192 in EUV lithography, 2SPIE Press(2018). Google Scholar

[3] 

H. J. Levinson and Christopher F. Lyons, “Pellicle for use in EUV lithography and a method of making such a pellicle,” U.S. Patent 6,623,893, (2003). Google Scholar

[4] 

C. Zoldesi, K. Bal, B. Blum, G. Bock, D. Brouns, F. Dhalluin, N. Dziomkina, “Progress on EUV pellicle development,” in Proc. SPIE, 90481N (2014). Google Scholar

[5] 

E. E. Gallagher, J. Vanpaemel, I. Pollentier, H. Zahedmanesh, C. Adelmann, C. Huyghebaert, R. Jonckheere, and J. U. Lee, “Properties and performance of EUVL pellicle membranes,” in Proc. SPIE, 96350X (2015). Google Scholar

[6] 

B. Turkot, S. L. Carson, A. Lio, T. Liang, M. Phillips, B. McCool, E. Stenehjem, T. Crimmins, G. Zhang, and S. Sivakumar, “EUV progress toward HVM readiness,” in Proc. SPIE, 977602 (2016). Google Scholar

[7] 

R. T. Hilton, T. E. Zavecz, J. and A. Reynolds, “Glass wafer processing and inspection for qualification O\of reticles in a fineline wafer stepper production facility,” 0538 (1985). Google Scholar

[8] 

P. De Bisschop, Peter, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 16 (4), 041013 (2017). Google Scholar

[9] 

Stapper, Charles H., “Modeling of integrated circuit defect sensitivities,” IBM Journal of Research and Development, 27 (6), 549 –557 (1983). https://doi.org/10.1147/rd.276.0549 Google Scholar

[10] 

G. M. Gallatin, “Resist blur and line edge roughness,” in Proc. SPIE, 38 –53 (2004). Google Scholar

[11] 

M. D. Smith, J. Biafore, and C. Fang, “Optimization of a virtual EUV photoresist for the trade-off between throughput and CDU,” in Proc. SPIE, 868203 (2013). Google Scholar

[12] 

R. Fallica, J. Haitjema, L. Wu, S. C. Ortega, A. M. Brouwer, and Y.Ekinci, “Absorption coefficient of metal-containing photoresists in the extreme ultraviolet,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 17 (2), 023505 (2018). https://doi.org/10.1117/1.JMM.17.2.023505 Google Scholar

[13] 

G. Schmid, “Understanding molecular scale effects during photoresist processing,” (2003). Google Scholar

[14] 

H. J. Levinson, Principles of Lithography, 3SPIE Press(2010). Google Scholar

[15] 

C. W. Gwyn and P. J. Silverman, “EUVL: transition from research to commercialization,” in Proc. SPIE, 990 –1005 (2003). Google Scholar

[16] 

P. Yan, “Understanding Bossung curve asymmetry and focus shift effect in EUV lithography,” in Proc. SPIE, 279 –288 (2002). Google Scholar

[17] 

T. Last, L. de Winter, P. van Adrichem, and J. Finders, “Illumination pupil optimization in 0.33-NA extreme ultraviolet lithography by intensity balancing for semi-isolated dark field two-bar M1 building blocks,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 15 (4), 043508 (2016). https://doi.org/10.1117/1.JMM.15.4.043508 Google Scholar

[18] 

J. Finders, E. van Setten, P. Broman, E. Wang, J. McNamara, and P. van Adrichem, “EUV source optimization driven by fundamental diffraction considerations,” in Proc. SPIE, 104500C (2017). Google Scholar

[19] 

S. Raghunathan, O. R. Wood, P. Mangat, E. Verduijn, V. Philipsen, E. Hendrickx, R. Jonckheere, “Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images,” Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 32 (6), 06F801 (2014). https://doi.org/10.1116/1.4901876 Google Scholar

[20] 

R. Kim, O. Wood, M. Crouse, Y. Chen, V. Plachecki, S. Hsu, and K. Gronlund, “Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs,” in Proc. SPIE, 97761R (2016). Google Scholar

[21] 

S. G. Hansen, “Photoresist and stochastic modeling,” Journal of Micro/Nanolithography, MEMS, and MOEMS, 17 (1), 013506 (2018). https://doi.org/10.1117/1.JMM.17.1.013506 Google Scholar
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Harry J. Levinson and Timothy A. Brunner "Current challenges and opportunities for EUV lithography", Proc. SPIE 10809, International Conference on Extreme Ultraviolet Lithography 2018, 1080903 (24 October 2018); https://doi.org/10.1117/12.2502791
Lens.org Logo
CITATIONS
Cited by 4 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Extreme ultraviolet

Lithography

Chemically amplified resists

Line edge roughness

Optical lithography

Back to Top