Paper
7 June 1996 Step-and-scan and step-and-repeat: a technology comparison
Martin A. van den Brink, Hans Jasper, Steve D. Slonaker, Peter Wijnhoven, Frans Klaassen
Author Affiliations +
Abstract
While the semiconductor manufacturing community is preparing for the transition from 0.35micrometers to 0.25micrometers technology, lithography equipment suppliers are preparing for the shift from step-and-repeat to step-and-scan systems. In addition, most wafer stepper users are planning to change from i-line to KrF laser wavelength technology. The question, however, is what are the advantages and disadvantages of scanners over steppers in a production environment. In this paper, we discuss the two different technologies using the following criteria: (1) throughput/cost of ownership, (2) CD control/depth of focus, (3) distortion and overlay. 248 nm lithography will be used for the 0.25micrometers process rule regime in combination with i-line systems being used for 50 to 70 percent of the lithography steps to reduce cost. Therefore, an ideal match is required between i-line systems and their DUV critical layer counterparts. For this reason, the economic equation of step-and-scan is determined by the total picture of matched DUV and i-line scanners. However, the comparisons between non-laser-based scanners and steppers and laser-based scanners and steppers are different. This paper discusses this subject using a combination of theoretical modeling and measured data. Imaging data from a new, DUV, double telecentric, 0.4 to 0.57 variable NA wafer stepper equipped with a variable coherence/annular illuminator is shown; thus proving that good imaging data at 0.25micrometers resolution at moderate cost is possible using wafer steppers.
© (1996) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Martin A. van den Brink, Hans Jasper, Steve D. Slonaker, Peter Wijnhoven, and Frans Klaassen "Step-and-scan and step-and-repeat: a technology comparison", Proc. SPIE 2726, Optical Microlithography IX, (7 June 1996); https://doi.org/10.1117/12.240936
Lens.org Logo
CITATIONS
Cited by 20 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Scanners

Semiconducting wafers

Distortion

Deep ultraviolet

Lamps

Critical dimension metrology

Laser scanners

RELATED CONTENT


Back to Top