Paper
20 October 2000 Measurement and analysis of reticle and wafer level contributions to total CD variation
Author Affiliations +
Proceedings Volume 4226, Microlithographic Techniques in Integrated Circuit Fabrication II; (2000) https://doi.org/10.1117/12.404840
Event: International Symposium on Microelectronics and Assembly, 2000, Singapore, Singapore
Abstract
The impact of reticle critical dimension (CD) variations on wafer level CD performance has been growing with the trend towards sub-wavelength lithography. Reticle manufacturing, CD specifications and qualification procedures must now take into account the details of the wafer fab exposure and process conditions as well as the mask process. The entire pattern transfer procedure, from design to reticle to wafer to electrical results, must be viewed as a system engineering problem. In this paper we show how hardware and software tools, procedures, and analysis techniques are being developed to support the demanding requirements of the pattern transfer process in the era of 0.13 micron lithography.
© (2000) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Moshe E. Preil and Chris A. Mack "Measurement and analysis of reticle and wafer level contributions to total CD variation", Proc. SPIE 4226, Microlithographic Techniques in Integrated Circuit Fabrication II, (20 October 2000); https://doi.org/10.1117/12.404840
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reticles

Semiconducting wafers

Photomasks

Critical dimension metrology

Error analysis

Lithography

Inspection

RELATED CONTENT


Back to Top