Paper
20 May 2004 Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging
Author Affiliations +
Abstract
The development of defect-free mask blanks including inspection is one of the big challenges for the implementation of extreme ultraviolet lithography (EUVL), especially when the introduction of EUVL is rescheduled to a later technology node. Among others, inspection of multilayer coated mask blanks with no oversight of critical defects and with minimal detection of false defects is a challenging issue for providing mask blanks free of defects or with thorough characterization of any existing defects. MIRAI Project has been developing a novel actinic (at-wavelength) inspection tool for detecting critical multilayer defects using a dark-field imaging and a laser-produced plasma (LPP) light source, expecting better sensitivity and better correlation with printability. The first experimental set up is completed for proof-of-concept (POC) demonstration using 20x Schwarzschild imaging optics and a backsideilluminated CCD. An in-house LPP light source is integrated to optimally illuminate the area of interest by EUV with a wavelength of 13.5nm. For its illuminator, a multilayer-coated elliptical mirror is used to illuminate a mask blank with the EUV that is collected within a wide solid angle from the light source. The first EUV dark-field image is obtained from a mask blank with programmed multilayer defects which are manufactured by locating well-defined patterns before depositing Mo/Si multilayer on EUV mask substrate. All the fabricated multilayer defects down to 70nm in width and 3.5nm in height are detected as clear signals that are distinguishable from the background intensity arising from the scattering by the surface roughness of the multilayer-coated mask blank. We have also detected a phase defect as low as 2nm in height. False defect count was not only zero within the area of view but also statistically confirmed to be less than one within the whole area of a mask blank assuming the extrapolation of observed fluctuation of background intensity is applicable. EUV pulse energy measurements and a CCD speed scaling suggested that the inspection throughput of 2 hours per mask blank will be feasible. The actinic tool based on this scheme will, not only serve for benchmarking with non-actinic tools or support multilayer deposition process improvements, but also be a viable choice for qualification of premium EUV mask blanks.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yoshihiro Tezuka, Masaaki Ito, Tsuneo Terasawa, and Toshihisa Tomie "Actinic detection of multilayer defects on EUV mask blanks using LPP light source and dark-field imaging", Proc. SPIE 5374, Emerging Lithographic Technologies VIII, (20 May 2004); https://doi.org/10.1117/12.534915
Lens.org Logo
CITATIONS
Cited by 15 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Inspection

Photomasks

Light sources

Defect detection

Charge-coupled devices

Mirrors

Back to Top