Paper
7 November 2005 Laser and e-beam mask-to-silicon with inverse lithography technology (ILT)
Author Affiliations +
Abstract
This paper presents ILT masks written by a DUV laser writer and a VSB e-beam writer, and their corresponding wafer print results. ILT mathematically determine the mask features that produce the desired on-wafer results. ILT-generated masks sometimes are non-intuitive, and different than those produced by past approaches; therefore, their manufacturability must be understood. In this study ILT was applied to create binary chrome-on-glass (CoG) masks with feature sizes ranging from 130 nm to 45 nm (at the wafer scale). The masks were written with both DUV laser (AMAT Alta 4300) and electron beam (JEOL JBX-9000) pattern generators. Wafers were then printed on a 193 nm scanner (ASML 1400, NA = 0.75). Mask image quality and wafer image quality (SEM micrographs and focus-exposure CD performance) were collected. In addition, it was also demonstrated that ILT has the capability to tune the mask complexity by constraining fracture figure size and the minimum mask feature/space.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Linyong Pang, Nader Shamma, Paul Rissman, and Dan Abrams "Laser and e-beam mask-to-silicon with inverse lithography technology (ILT)", Proc. SPIE 5992, 25th Annual BACUS Symposium on Photomask Technology, 599221 (7 November 2005); https://doi.org/10.1117/12.632738
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Optical proximity correction

Lithography

Vestigial sideband modulation

Scanning electron microscopy

Image segmentation

Back to Top