Paper
20 March 2008 Etching of 42-nm and 32-nm half-pitch features patterned using step and Flash imprint lithography
Cynthia B. Brooks, Dwayne L. LaBrake, Niyaz Khusnatdinov
Author Affiliations +
Abstract
In this work, the authors demonstrate the suitability of Step and Flash® Imprint Lithography (S-FIL®) materials as a mask for patterning 42 nm and 32 nm half pitch features into a hardmask material. We present a zero etch-bias process with good silicon oxide to imprint resist selectivity and excellent line-width roughness (LWR) control. We demonstrate the required etch processes and mean value and uniformity of the residual layer thickness (RLT) necessary to maintain cross wafer CD uniformity for 42 nm and 32 nm half pitch dense lines. Finally, the authors present a mechanism for targeting the critical dimension by control of the imprint resist volume.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Cynthia B. Brooks, Dwayne L. LaBrake, and Niyaz Khusnatdinov "Etching of 42-nm and 32-nm half-pitch features patterned using step and Flash imprint lithography", Proc. SPIE 6921, Emerging Lithographic Technologies XII, 69211K (20 March 2008); https://doi.org/10.1117/12.775586
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Critical dimension metrology

Lithography

Oxides

Silicon

Photoresist processing

Optical lithography

Back to Top