Paper
15 April 2010 Metrology qualification of EUV resists
Liraz Gershtein, Ram Peltinov, Stefano Ventola, Claudio Masia, Chanjuan Xing
Author Affiliations +
Abstract
The ASML extreme ultraviolet lithography (EUV) alpha demo tool is a 0.25NA fully functional lithography tool with a field size of 26×33 mm2, enabling process development for sub-40-nm technology. Two exposure tools are installed in two research centers. The main topic of this paper is the examination of the measured pattern roughness LER contributed by measurement (SEM), exposure (EUV exposure tool) and the resists itself. The authors also examined suspected metrology SEM challenges on different EUV resist types exposed by one of the EUV demo tools. Standard CD SEM tests, such as precision and shrinkage were performed in order to get best working conditions. As part of the research, special attention was given to expected electron - material interactions, such as resist's slimming, low contrast and contamination build up on both lines. LER was analyzed in order to determine separately the contribution effect of the exposure tool and the different resists. Additional comparison was performed on different CDs with different orientations and densities.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Liraz Gershtein, Ram Peltinov, Stefano Ventola, Claudio Masia, and Chanjuan Xing "Metrology qualification of EUV resists", Proc. SPIE 7638, Metrology, Inspection, and Process Control for Microlithography XXIV, 76383D (15 April 2010); https://doi.org/10.1117/12.849020
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Extreme ultraviolet

Scanning electron microscopy

Extreme ultraviolet lithography

Metrology

Critical dimension metrology

Edge roughness

RELATED CONTENT


Back to Top