Open Access Paper
22 April 2015 Front Matter: Volume 9422
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9422 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Extreme Ultraviolet (EUV) Lithography VI, edited by Obert R. Wood II, Eric M. Panning, Proceedings of SPIE Vol. 9422 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628415247

Published by SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9422_942201_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B… 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tamotsu, 0C, 2P

Abe, Tsukasa, 1Z

Abhari, Reza S., 2K

Abreau, F., 2K

Ahn, Chang-Nam, 0M

Ahn, Jin-Ho, 1O, 2E

Aloni, Shaul, 09

Amano, Tsuyoshi, 1Z, 24, 25

Antohe, Alin O., 1B

Arnold, John C., 0T

Asai, Masaya, 2C

Ashby, Paul D., 0L

Ashizawa, Noritaka, 0F

Ashworth, Dominic, 11, 12, 1E

Ayothi, Ramakrishnan, 0P, 11

Bahrenberg, Lukas, 29

Balachandran, Dave, 1B

Barletta, William A., 0D

Barouch, Eytan, 0V

Basavalingappa, Adarsh, 0Q

Beckers, Marcel, 1P

Beique, Genevieve, 0T

Benk, Markus P., 0J, 14, 15, 17, 1A, 1C

Berg, Robert, 12

Bespalov, V. G., 2M

Bhattarai, Suchit, 09, 2I

Blackwell, James, 05

Bonam, Ravi, 1D

Boom, Herman, 1P

Böwering, Norbert, 0B

Boyle, John, 1M

Brainard, Robert L., 08, 21, 27

Brandstätter, Markus, 2K

Brandt, David C., 0B, 1P

Brown, Daniel J., 0B, 1P

Buitrago, Elizabeth, 04, 1S

Burkhardt, Martin, 0U, 0X, 1D

Capelli, Renzo, 19

Cardineau, Brian, 21

Chakraborty, Tonmoy, 11

Chang, Shu-Hao, 1T

Chao, Weilun, 09, 1C

Chauhan, V., 0Z

Chen, Alek C., 0M

Chen, Jack J. H., 0Y, 1T

Chen, Norman, 1T

Cheong, Lin Lee, 0T, 1M

Chi, Cheng, 0W

Chien, Shang-Chieh, 1T

Chou, Hsiang-Yu, 1T

Chuang, Frank, 1P

Chun, Jun Sung, 0L, 11, 1L

Chung, Chia-Chun, 0Y, 1T

Civay, D., 0Z

Claus, Rene A., 14, 17

Colburn, Matthew, 0R, 0T

Corliss, Daniel, 0R, 1D, 1M

Crispo, Gary, 1D

Cummings, Kevin, 0L, 11, 1B, 1E

Curry, John, 0K

Dai, Fengzhao, 2O

Danylyuk, Serhiy, 29

de Jong, Arjen T., 1V

Delancey, Robert, 1D

Del Re, Ryan, 21, 27

Demmerle, Wolfgang, 0Q, 23

Denbeaux, Gregory, 08, 0Q

de Peuter, Koen, 1P

de Ruijter, Chris, 1P

De Simone, Danilo, 0S, 23

Donoghue, Alexander P., 1A, 1C

Dunstan, Wayne J., 0B

Earley, William, 08

Eckstein, Hans-Christoph, 0E

Ekinci, Yasin, 04, 1S, 21, 27

Elg, Daniel T., 2H

Elizarov, V. V., 2M

Erdmann, Andreas, 18

Ershov, Alex, 0B

Evanschitzky, Peter, 18

Fallica, Roberto, 04

Fan, Yu-Jen, 0L, 11, 12

Farrar, Nigel R., 0B, 1P

Feigl, Torsten, 0E

Felix, Nelson, 0R, 0T

Fiedler, Tobias, 0E

Finders, Jo, 1P

Fischer, Andreas, 13

Fomenkov, Igor, 0B

Foubert, Philippe, 1X

Fournier, Gary, 0K

Freedman, Daniel, 21, 27

Frei, Marcel, 2Q

Fritsche, Bodo, 2Q

Fujii, Shinya, 06

Fujimori, Toru, 0N, 10, 12

Fujisawa, Tomohisa, 0P

Fumar-Pici, Anita, 0M

Gallagher, E., 16

Gambino, Nadia, 2K

Gao, Weimin, 23

Garetto, Anthony, 19

Geelen, D., 0A

Gilmer, David, 0H

Goethals, Anne-Marie, 1X

Goldberg, Kenneth A., 0J, 0Q, 14, 15, 17, 1A, 1C

Goodwin, Frank, 0H, 1B, 1E

Goto, Takahiro, 0N

Graham, Matthew, 0B

Grantham, Steven, 0K

Gräupner, Paul, 1H

Grishkanich, A. S., 2M

Gronlund, Keith, 1I

Grzeskowiak, Steven, 08

Guha Neogi, T., 0Z

Gullikson, Eric M., 0J

Gupta, Rachit, 0U

Halle, Scott D., 1D

Hamieh, Bassem, 0T

Han, Eungnak, 05

Hanna, Michael, 1Q

Hansen, Steve, 1I

Harada, Tetsuo, 10, 2L

Harlson, Shane, 05

Harned, Noreen, 0B, 1P

Harris-Jones, Jenah, 0Q

Harumoto, Masahiko, 2C

Hassanein, Ahmed, 0G

Hatakeyama, Masahiro, 24

He, Long, 1B

Hellweg, Dirk, 19

Hendrickx, Eric, 15, 23

Herbert, Stefan, 29

Herbol, Henry, 08, 0Q

Hetzer, David, 0R

Hill, Shannon, 0K, 12

Hiltbrunner, Carmen, 2Q

Hira, Yudai, 0R

Hirano, Ryoichi, 24, 25

Hishiro, Yoshi, 0P

Hoefnagels, R., 1S

Hofmann, Oskar, 29

Hong, Seongchul, 2E

Hori, Masafumi, 0P

Hori, Tsukasa, 0C, 2P

Hoshiko, Kenji, 0P

Hosler, Erik R., 0D, 0Z

Hotalen, Jodi, 27

Howell, Rafael, 1I

Hsu, Stephen, 1I

Huang, Roger, 1P

Huang, Tao-Ming, 1T

Hudgins, Duane, 2K

Huli, Lior, 0R

Hyun, Yoonsuk, 0S, 1U

Iida, Susumu, 24, 25

Inoue, Soichi, 10, 12, 1W

Itani, Toshiro, 06, 1Y

Jang, Il-Yong, 0Q

Jen, Shih-Hui, 11

Jia, Jianjun, 1I

Jiang, Fan, 0U, 0W

Jilisen, Renè T.M., 1V

Jindal, Vibhu, 0Q, 19

Johnson, David G., 1A, 1C

Johnson, Richard, 0R

Jonckheere, R., 16

Jung, Mi-Rim, 0M

Juschkin, Larissa, 29

Kaiser, N., 1K

Kaiser, Winfried, 1G, 1H

Kamohara, Itaru, 23

Kaneyama, Koji, 2C

Karimata, Kenichi, 24

Karumuri, Anil, 0H, 1B

Kasama, Kunihiko, 0F

Kascheev, S. V., 2M

Kawakami, Shinichiro, 1X

Kawasuji, Yasufumi, 0C, 2P

Kearney, Patrick, 0H, 0I, 1B

Keens, Simon, 2Q

Kelly, Chris, 08

Kikuchi, Yukiko, 10, 12

Kim, Guk-Jin, 1O

Kim, In-Seon, 1O

Kim, Jinsoo, 1U

Kim, Jung Hwan, 2E

Kim, Jung Sik, 2E

Kim, Kyuyoung, 1U

Kim, Seo-Min, 0M, 0S, 1U

Kim, Young-Sik, 0M, 1U

Kimoto, Takakazu, 0P

Kimura, Toru, 0P

Kindt, Louis, 1M

Kinoshita, Hiroo, 10, 2L

Klostermann, Ulrich, 23

Kneer, Bernhard, 1G, 1H

Koay, Chiew-seng, 0R

Kobayashi, Kazuo, 0O

Koch, Markus, 19

Kodama, Takeshi, 0C, 2P

Komuro, Yoshitaka, 0O

Koo, Sunyoung, 1U

Kool, Ron, 0B, 1P

Kops, Margarete, 0F

Kops, Ralf, 0F

Kozawa, Takahiro, 06, 0O, 2D

Kriese, Michael, 0K

Krysak, Marie, 05

Kulmala, Tero S., 04

Kumar, Aditya, 0I

Kuwahara, Yuhei, 1X

Kwak, Nohjung, 1U

Kwon, Won-Taik, 0M

Lai, Kafai, 0W

Lallement, Romain, 0T

Laubis, Christian, 0E, 0I, 13

Lebert, Rainer, 29

Lee, Hojune, 0H

Lee, Jae Uk, 2E

Lee, Seung Min, 2E

Lee, Sung-Gyu, 1O

Leeson, Michael, 05

Leitel, Robert, 0E

Leonhard, Dusty, 1M

Levinson, Zac, 15

Li, Jie, 2O

Liang, Ted, 1C

Liehr, Michael, 1L

Lim, Chang-Moon, 0M, 0S, 1U

Lin, Martin, 1P

Lin, Yen-Chih, 12

Liu, Chi-Chun, 0W

Liu, Hua-Yu, 1I

Lok, Sjoerd, 1P

Loosen, Peter, 29

Lu, Yen-Cheng, 0Y, 1T

Lucas, Kevin, 23

Lucatorto, Thomas, 0K, 12

Luong, Vu, 0I

Ma, Yuansheng, 0W

Magnusson, Krister, 19

Mallik, Arindam, 1N

Mallmann, Jörg, 1P

Mangat, Pawitter J. S., 0D, 0I, 15

Marokkey, Sajan, 0Q

Maruyama, Ken, 11

Maryasov, Aleksey, 29

Matsumoto, Hiroie, 0R

Matsumoto, Yoko, 2L

Matsunaga, Koichi, 1X

Matsuura, Yuriko, 2F

Matthews, Ken, 0L

McGeoch, Malcolm W., 28

Megens, Henry, 1Q

Meiling, Hans, 0B, 1P

Meli, Luciana, 0R, 1D

Mellman, Joerg, 0W

Mercha, Abdelkarim, 1N

Mertens, Guido, 0F

Metz, Andrew, 0R

Migura, Sascha, 1F, 1G, 1H

Mikami, Shinji, 1W

Minegishi, Shinya, 10, 12

Minnaert, Arthur, 1P

Mitsuyasu, Masaki, 2D

Miyagi, Tadashi, 2C

Miyakawa, Ryan, 1C, 1J

Mizoguchi, Hakaru, 0C, 2P

Mochi, Iacopo, 0Q

Momota, Makoto, 0N

Montgomery, Cecilia, 0L, 11

Montgomery, Warren, 1L

Mulkens, Jan, 1Q

Murakami, Takeshi, 24

Nafus, Kathleen, 1X

Nagahara, Tatsuro, 2F

Nagai, Tomoki, 0P

Nagano, Akihisa, 0F

Nakagawa, Hisashi, 0P

Nakamura, Kiyotada, 0F

Nakarai, Hiroaki, 0C, 2P

Narasimhan, Amrit, 08

Naruoka, Takehiko, 0P, 11

Naujok, P., 1K

Naulleau, Patrick P., 09, 14, 17, 1C, 1J, 2I

Neisser, Mark, 08, 0L, 11, 21, 27

Neumann, Jens Timo, 1G, 1H

Neureuther, Andrew R., 09, 14, 17, 1C, 2I

Ngai, Tat, 0H

Nihashi, Wataru, 0N

Nowak, Krzysztof M., 0C

Ocola, Leonidas E., 08

Oh, Hye-Keun, 1O

Ohomori, Katsumi, 0O

Okamoto, Takeshi, 2P

Okazaki, Shinji, 0C, 2P

Panici, Gianluca A., 2H

Park, Jin-Goo, 1O

Park, Sarohan, 0S

Pasarelli, James, 21, 27

Patil, Suraj, 0I

Pauer, Hagen, 0E

Peeters, Rudy, 1P

Perlitz, Sascha, 19

Perske, Marco, 0E

Peters, Jan Hendrik, 19

Petrillo, Karen, 0R

Philipsen, Vicky, 0I, 15

Pieczulewski, Charles, 2C

Pirati, Alberto, 0B, 1P

Pistor, Thomas V., 0Q

Platonov, Yuriy, 0K

Pollentier, Ivan, 12

Popadic, Milos, 1P

Preil, Moshe E., 0D

Pritchard, D., 0Z

Purvis, Michael, 0B

Rafac, Rob, 0B

Raghunathan, Ananthan, 0U, 0W, 0X

Raghunathan, Sudharshanan, 0I, 15

Rankin, Jed, 1M

Riggs, Daniel, 0B

Rispens, G., 1S

Risse, Stefan, 0E

Robinson, Chris, 0R, 1M

Rodriguez, Jim, 0K

Rokitski, Slava, 0B

Rollinger, Bob, 2K

Ronse, Kurt, 1N

Rösch, Matthias, 1H

Ruzic, D. N., 2H

Ryckaert, Julien, 1N

Saito, Takashi, 0R

Saitou, Takashi, 0C, 2P

Sanders, Alexander, 2K

Sandstrom, Rick, 0B

Santillan, Julius Joseph, 06

Santos, Bárbara, 0F

Sasami, Takeshi, 10, 12

Saulnier, Nicole, 0R, 0T

Schafgans, Alexander A., 0B, 1P

Schleicher, Philipp, 0E

Schmöller, Thomas, 23

Scholze, Frank, 0E, 0I, 13

Schröder, Sven, 0E

Seisyan, R. P., 2M

Sekiguchi, Atsushi, 2L

Sekito, Takashi, 2F

Shih, Chih-Tsung, 0Y, 1T

Shiobara, Eishi, 10, 12, 1W

Shirai, Takahiro, 0F

Shiraishi, Yutaka, 0C, 2P

Shiratani, Motohiro, 0P

Sizyuk, Tatyana, 0G

Slotboom, Daan, 1Q

Smith, Bruce W., 15

Smith, Daniel, 1P

Smith, L., 0Z

Soltwisch, Victor, 0I, 13

Song, Hyun Min, 2E

Sortland, Miriam, 21, 27

Soumagne, Georg, 0C

Srivastava, Shailendra N., 2H

Srivats, Bharath, 08

Stadelhoff, Christian, 13

Steinkopf, Ralf, 0E

Stoeldraijer, Judon, 1P

Stokes, Harold, 2C

Sun, Kyu-Tae, 0M

Sun, Lei, 0T

Takagi, Isamu, 10, 12, 1W

Takagi, N., 16

Tanaka, Hiroshi, 0C, 2P

Tanaka, Hiroyuki, 1W

Tang, Feng, 2O

Tao, Yezheng, 0B

Tao, Zheng, 0S

Tarrio, Charles, 0K, 12

Tempeler, Jenny, 29

Teramoto, Yusuke, 0F

Terao, Kenji, 24

Thean, Aaron, 1N

Thete, A., 0A

Thouroude, Yan, 2C

Tittnich, Michael, 1L

Torres, J. Andres, 0U, 0W

Tromp, R. M., 0A

Trost, Marcus, 0E

Tsubaki, Hideaki, 0N

Tsuchihashi, Toru, 0N

Tsugama, N., 1S

Tünnermann, A., 1K

Turley, Christina, 1M

Ullrich, Albrecht, 13

Upadhyaya, Mihir, 0Q

Vaenkatesan, Vidya, 1Q

Valente, Sean, 0L

Valentin, Chris, 1F

van de Kerkhof, Mark, 1V

Vandenberghe, Geert, 0S, 23

Van den Heuvel, D., 16

van der Molen, S. J., 0A

van Es, Roderik, 1P

van Ingen Schenau, Koen, 1F, 1H

van Noordenburg, Martijn, 1P

van Putten, Arnold, 1V

van Schoot, Jan, 1F, 1G

van Setten, Eelco, 1P

Vaschenko, Georgiy, 0B

Vemareddy, Kaushik, 1D

Verduijn, Erik, 0I, 15

Verkest, Diederik, 1N

Verspaget, C., 1S

Vo, Tuan, 0H

Vockenhuber, Michaela, 04, 21, 27

von Wezyk, Alexander, 0F

Wagner, Christian, 0B, 1P

Waller, Laura, 14, 17

Wang, Wenhui, 0T

Wang, Xiangzhao, 2O

Wang, Yow-Gwo, 17, 1C

Watanabe, Hidehiro, 16, 24, 25

Watanabe, Takeo, 10, 2L

Watanabe, Yukio, 0C, 2P

Wei, Hannah, 1Q

Weiss, Markus R., 19

Wisehart, Liam, 08

Wojdyla, Antoine, 14, 15, 17, 1A, 1C

Wood, Obert R., II, 0D, 0I, 15

Word, James, 0U

Wu, Feibin, 2O

Wu, Jui-Ching, 1T

Wu, Shun-Der, 1T

Wuister, S., 0A

Xu, Dongbo, 18

Xu, Yongan, 0T, 0W

Yabuta, Hironobu, 0F

Yamada, Tsuyoshi, 0C

Yamamoto, Hiroki, 0O, 2D

Yamamoto, Kazuma, 1Y

Yamamoto, Kazuma, 2F

Yamazaki, Taku, 0C, 2P

Yan, Pei-yang, 0J

Yanagida, Tatsuya, 0C

Yen, Anthony, 0Y, 1T

Yeung, Michael, 0V

Yildirim, O., 1S

Yoshikawa, Shoji, 24

Yu, Shinn-Sheng, 0Y, 1T Yulin, S., 1K

Yum, Jung, 0H

Zeitner, Uwe, 0E

Zhang, Guojing, 0J

Zhevlakov, A. P., 2M

Zhou, Xibin, 1E

Zimmermann, Jörg, 1I

Zoldesi, Carmen, 1P

Conference Committee

Symposium Chair

  • Mircea V. Dusa, ASML US, Inc. (United States)

Symposium Co-chair

  • Bruce W. Smith, Rochester Institute of Technology (United States)

Conference Chairs

  • Obert R. Wood II, GLOBALFOUNDRIES Inc. (United States)

  • Eric M. Panning, Intel Corporation (United States)

Conference Program Committee

  • Markus Bender, Advanced Mask Technology Center GmbH Co. KG (Germany)

  • Jos P. Benschop, ASML Netherlands B.V. (Netherlands) Robert L. Brainard, College of Nanoscale Science & Engineering, (United States)

  • Li-Jui Chen, Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan)

  • Daniel A. Corliss, IBM Corporation (United States)

  • Emily E. Gallagher, IMEC (Belgium)

  • Michael Goldstein, Intel Corporation (United States)

  • Frank Goodwin, SEMATECH Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Compay, Ltd. (Japan)

  • Soichi Inoue, EUVL Infrastructure Development Center Inc. (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Insung Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Seong-Sue Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Bruno La Fontaine, Cymer, Inc. (United States)

  • Michael J. Lercel, SEMATECH Inc. (United States)

  • Ted Liang, Intel Corporation (United States)

  • Chang-Moon Lim, SK Hynix, Inc. (Korea, Republic of)

  • Anna Lio, Intel Corporation (United States)

  • Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Christopher S. Ngai, Applied Materials, Inc. (United States)

  • Shinji Okazaki, Gigaphoton Inc. (Japan)

  • Uzodinma Okoroanyanwu, Consultant (Germany)

  • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • Jorge J. Rocca, Colorado State University (United States)

  • Kurt G. Ronse, IMEC (Belgium)

  • Tsutomu Shoki, HOYA Corporation (Japan)

  • Akiyoshi Suzuki, Gigaphoton Inc. (Japan)

  • Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

  • Thomas I. Wallow, ASML Brion Technologies (United States)

  • Masaki Yoshioka, Ushio Inc. (Japan)

  • Wang Yueh, Intel Corporation (United States)

Session Chairs

  • 1 Keynote Session

    Jos P. Benschop, ASML Netherlands B.V. (Netherlands)

    Shinji Okazaki, Gigaphoton Inc. (Japan)

  • 2 EUV Resist Extendability: Joint Session with Conferences 9422 and 9425

    Robert L. Brainard, College of Nanoscale Science and Engineering (United States)

    James W. Thackeray, Dow Electronic Materials (United States)

  • 3 EUV Resist Mechanistic Studies: Joint Session with Conferences 9422 and 9425

    John J. Biafore, KLA-Tencor Texas (United States)

    Clifford L. Henderson, Georgia Institute of Technology (United States)

  • 4 EUV Source

    Moshe E. Preil, GLOBALFOUNDRIES Inc. (United States)

    Emily E. Gallagher, IMEC (Belgium)

  • 5 EUV Mask Structure

    Frank Goodwin, SEMATECH Inc. (United States)

    Hidehiro Watanabe, EUVL Infrastructure Development Center, Inc. (Japan)

  • 6 EUV Resists

    Thomas I. Wallow, Brion Technologies, Inc. (United States)

    Anna Lio, Intel Corporation (United States)

  • 7 EUV Integration

    Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

    Anthony Yen, Taiwan Semiconductor Manufacturing Company, Ltd. (Taiwan)

  • 8 Mask Topography: Joint Session with Conferences 9422 and 9426 Ted Liang, Intel Corporation (United States)

    Tsai-Sheng Gau, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • 9 Resist Outgas Testing

    Kurt G. Ronse, IMEC (Belgium)

    Michael J. Lercel, SEMATECH Inc. (United States)

  • 10 EUV Optics and Mask Metrology

    Christopher S. Ngai, Applied Materials, Inc. (United States)

    Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • 11 EUV Mask Inspection

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • 12 EUV Extension

    Jim N. Wiley, ASML US, Inc. (United States)

    Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

  • 13 EUV Manufacturing

    Sang Hun Lee, Intel Corporation (United States)

    Gregory R. McIntyre, IMEC (Belgium)

  • 14 Exposure Tools

    Martin Burkhardt, IBM Thomas J. Watson Research Center (United States)

    Chan Hwang, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

Introduction

The chairs of the Extreme Ultraviolet (EUV) Lithography VI Conference would like to thank the program committee, the session chairs, the presenters, and the attendees for a successful 2015 meeting at SPIE Advanced Lithography in San Jose, California. The number of conference oral talks this year was nearly identical to last year’s number indicating that the interest in EUV lithography technology remains high. Peak session attendance at the keynote joint session topped 750. Key topics included EUV scanner and source performance, mask blank defectivity and actinic blank review, CAR and non-CAR resist status, EUV pellicle development, and EUV extendibility beyond the 7 nm technology node.

The EUV conference received 99 abstract submissions in 2015, of which 67 were accepted for oral presentation, 30 were accepted for presentation in the poster session, and 2 were withdrawn. The number of abstract submissions was ~25% lower than last year, perhaps because EUV technology is getting closer to HVM introduction. The 2015 SPIE Advanced Lithography Symposium attendance totaled 2,320, very nearly the same as 2014’s count of 2,360. The average attendance at the EUV conference was 282 per session and the peak attendance in the keynote session was 752. The average attendance number was down slightly from the 2014 number of 332 but the keynote session attendance number was significantly higher than the 2014 number of 629.

Attendance by session is presented in Table 1.

SessionCount
Keynote752
Resist Extendibility (Joint with 9425)322
Resist MechanisticStudies (Joint with 9425)197
Source317
Mask Structure125
Resist262
Integration328
Mask Topography (Joint with 9426)246
Resist Outgas Testing85
Optics & Mask Metrology161
Mask Inspection166
Extension315
Manufacturing184
Exposure Tools484
00001_psisdg9422_942201_page_2_1a.jpg
00001_psisdg9422_942201_page_2_1b.jpg

Submissions by region for 2015 and 2014 are shown in Figure 2.

2015 Conference Highlights

Scanners

ASML reported that 8 NXE:3300B scanners have been shipped to customers and 6 are already exposing wafers, that more than 600 wafers per day have been exposed on four scanners and more than 1000 wafers per day have been exposed in a 24 hour period with a NXE:3300B scanner at TSMC1. The current collector lifetime is > 3 months and the average availability on an NXE:3330B scanner at TSMC is current 55%. ASML also provided the following preliminary imaging performance data for NXE:3300B scanners: 22 nm resolution for dense lines and spaces with fullwafer CDU = 1.0 nm, dedicated chuck overlay < 1.8 nm, matched machine overlay of < 3.5 nm, focus control < 12 nm, a 10x reduction in added particles per reticle pass (< 0.001).

Sources

At the 2015 Advance Etch Technology for Nanopatterning IV Conference, TSMC reported the achievement of 90 W at IF from a MOPA+PP LPP 3300B source (with an 80 W source configuration)2. At the 2015 SPIE EUVL VI Conference, ASML-Cymer described the steady improvement in LPP source performance from 10 W at 1% conversion efficiency (CE) in 2011 to 30 W at 1.5% CE in Q2’ 12, to 50 W at 4.0% CE in Q3’ 12 and 80 W at 3.5% CE for 24 hours at TSMC, and 100+ W both in a custom system and in an in-house system at the ASML factory in Veldhoven in Q4’ 143. These improvements in power were attributed to an evolution in the laser architecture, specifically to the addition of a pre-pulse to increase conversion efficiency and EUV emitting volume. ASML reported that 3 MOPA+PP 3300B sources (with 80 W source configuration) have delivered 110 W EUV of power for periods of one hour. At the 2015 SPIE EUVL VI conference, Gigaphoton described an LLP-EUV light source with superconducting magnet debris mitigation that produced 130 W at 80 kHz rep-rate and 50% duty cycle for 130 minutes4.

Masks and Mask Metrology

SEMATECH reported that using ion beam sputter deposition of Mo/So multilayer (ML) coatings at their Mask Blank Development Center in Albany New York, they have observed a 10% yield of ML-coated EUV mask blanks with 0 defects at 100 nm size and fewer than 5 defects at 80 nm size5. The final blank deposition run also resulted in 2 defect-free blanks (no defect adders > 54 nm in size). This result is the long awaited proof-of-concept that defect-free EUV mask blanks are possible! In a separate paper, SEMATECH reported that high quality Mo/Si ML coatings can be produced using commercially available PVD tools6. The Mo/Si coatings produced with the PVD tool had higher EUV reflectivity (68.4%) than those produced with an IBD tool (64%) and have already achieved comparable levels of added defects. Zeiss reported that their prototype AIMS™ EUV system has achieved 2nd light and that the system is expected to be available for customers in Q4 of this year7. Zeiss went on to say that the measured imaging optics flare was ~1% and that the tool already exceeds the specs for 16 nm hp resolution. In a presentation by LBNL, the SHARP EUV microscope demonstrated 7.5 nm L/S resolution using a 0.625 NA zone plate lens. The SHARP tool should be able to mimic the imaging of anamorphic projection optics like those recently proposed by AMSL/Zeiss for use in higher-NA EUV scanners when using an elliptical zone plate lens8.

Resists

It was extremely gratifying to see a presentation by JSR showing that 13 nm half pitch (HP) lines and spaces (L/S) have been resolved by a new chemically amplified (CAR) resist patterned with the Berkeley 0.3 NA MET at 35.5 mJ/cm2 dose9. This was an important result since progress in CAR resolution appeared to have been stalled for more than two years. Inpria reported that 13 nm HP L/S have been resolved by one of their Gen2 Platform metal-oxide materials also using the Berkeley 0.3 NA MET at 59 mJ/cm2 dose10. Intel presented data for hybrid nanoparticle materials showing 2-3 weeks of shelf life and that the dose is also stable for 2 – 3 weeks11. In a presentation at a satellite meeting on the day before the start of the EUVL VI Conference, ASML announced that witness plate outgas testing of CAR resist materials will no longer be required before use in an ASML NXE scanner12. Given this relaxation of the outgas testing requirement, commercial resist suppliers should now be able to explore a wider range of PAG and quencher loadings than ever before possible leading to improved performance.

Manufacturing

SK Hynix reported that comparable yields of 2x DRAMs have been demonstrated with EUV single pattering and 193 nm immersion multiple patterning13. In a separate paper SK Hynix found that the particle adder rate in an NXE:3100 scanner is only 0 to 1 particle per day and that pellicle-less operation may be an better operational mode when the EUV source power exceeds > 300 Watts at intermediate focus14.

ASML-Brion described new pattern-placement-aware SMO application software that simultaneously minimizes pattern placement errors, enhances through-focus contrast and reduces the impact of stochastic effects15.

At the end of 2014, ASML reported the development of a full-size pellicle with 85% transmission and with excellent imaging performance. At 2015 SPIE Advanced Lithography, ASML announced that they will supply EUV pellicles to NXE customers and went on to described a design for a removable and re-mountable pellicle that enables current pattern mask inspection tools to be used to inspect EUV patterned masks16.

Extendibility

ASML described the design of a EUV scanner with a 0.52 NA anamorphic half-field projection system17. The system’s anamorphic 4x/8x design allows use of a conventional 6” mask and is expected to have a throughput of 150 wafers per hour when used with a 500 W source and a resist with 30 mJ/cm2 sensitivity. In a companion paper by Zeiss, it was pointed out that the new anamorphic design has a central obscuration which blocks part of the 1st diffraction order and results in some contrast loss18. Zeiss also pointed out that the new system will require mirrors with extreme aspheric departures and tighter surface specs and a huge last mirror. In a third companion paper by Zeiss and ASML, the claim was made that Zeiss, ASML and Fraunhofer IISB all agree that the imaging differences between an isomorphic 8x system and an anamorphic 4x/8x system with a CRAO of 6° and NA > 0.5 are small19, e.g., the images of circular contact holes will become slightly elliptical at extreme out of focus positions and that anamorphic-aware OPC and SMO will be required. The authors claimed that the “benefits of the anamorphic high-NA system come without noticeable loss of image quality.”

2016 Conference Call for Papers

In 2015, the first group of EUVL production tools was fielded and dramatic increases in EUV source power were achieved. In 2016, chip makers will be focused on driving EUVL technology toward meeting HVM productivity and yield targets for the 7 nm logic technology node. Nevertheless, a number of critical technology challenges remain, i.e., meeting productivity and availability targets for HVM, developing mature mask handling protocols, improving mask yield, inspection, review, and repair infrastructure, and simultaneously improving resist resolution, sensitivity and LER. Looking longer term, to meet patterning challenges beyond the 7 nm logic technology node, innovative approaches in EUV source, mask and imaging materials will be needed and developing much higher power sources will be essential.

REFERENCES

[1] 

Pirati, A., et al., , “Performance overview and outlook of EUV lithography systems,” Paper No. 9422-60.Pirati, A., et al., , “Performance overview and outlook of EUV lithography systems,” Paper No. 9422-60.

[2] 

Yen, A., , “Status of EUV lithography,” Paper No. 9428-2.Yen, A., , “Status of EUV lithography,” Paper No. 9428-2.

[3] 

Schafgans, A., et al., , “Performance optimization of MOPA prepulse LPP light source (Invited Paper),” paper No. 9422-10.Schafgans, A., et al., , “Performance optimization of MOPA prepulse LPP light source (Invited Paper),” paper No. 9422-10.

[4] 

Mizoguchi, H., et al., , “Performance of one-hundred watt HVM LPP-EUV source,” Paper No. 9422-11.Mizoguchi, H., et al., , “Performance of one-hundred watt HVM LPP-EUV source,” Paper No. 9422-11.

[5] 

Kearney, P., et al., , “SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges,” Paper No. 9422-46.Kearney, P., et al., , “SEMATECH produces defect-free EUV mask blanks: defect yield and immediate challenges,” Paper No. 9422-46.

[6] 

Kearney, P., et al., , “Magnetron sputtering for the production of EUV mask blanks,” Paper No. 9422-16.Kearney, P., et al., , “Magnetron sputtering for the production of EUV mask blanks,” Paper No. 9422-16.

[7] 

Hellweg, D., et al., , “Actinic review of EUV masks: status and recent results of the AIMS™ EUV system (Invited Paper),” Paper No. 9422-44.Hellweg, D., et al., , “Actinic review of EUV masks: status and recent results of the AIMS™ EUV system (Invited Paper),” Paper No. 9422-44.

[8] 

Goldberg, K., et al., , “New ways of looking at masks with the SHARP EUV microscope,” Paper No. 9422-45.Goldberg, K., et al., , “New ways of looking at masks with the SHARP EUV microscope,” Paper No. 9422-45.

[9] 

Hori, H., et al., , “Novel EUV resist development for sub-14 nm half pitch,” Paper No. 9422-24.Hori, H., et al., , “Novel EUV resist development for sub-14 nm half pitch,” Paper No. 9422-24.

[10] 

Grenville, A., et al., , “Integrated fab process for metal oxide EUV photoresist,” Paper No. 9422-29.Grenville, A., et al., , “Integrated fab process for metal oxide EUV photoresist,” Paper No. 9422-29.

[11] 

Krysak, M., et al., , “Extending resolution limits of EUV resist materials,” Paper No. 9422-4.Krysak, M., et al., , “Extending resolution limits of EUV resist materials,” Paper No. 9422-4.

[12] 

Harned, N., “Resist outgassing spec relaxation,” IEUVI Resist TWG, San Jose, CA2015). Google Scholar

[13] 

Lim, C., , “Progress and challenges toward EUV HVM,” Paper No. 9422-2.Lim, C., , “Progress and challenges toward EUV HVM,” Paper No. 9422-2.

[14] 

Hyun, Y., et al., , “EUV mask particle adders during scanner exposure,” Paper No. 9422-53.Hyun, Y., et al., , “EUV mask particle adders during scanner exposure,” Paper No. 9422-53.

[15] 

Hsu, S., et al., , “EUV resolution enhancement techniques (RET) for k1s below 0.4,” Paper No. 9422-53.Hsu, S., et al., , “EUV resolution enhancement techniques (RET) for k1s below 0.4,” Paper No. 9422-53.

[16] 

Zoldesi, C., , “EUV pellicle is ready for the next step: industrialization” Paper No. 9422-62.Zoldesi, C., , “EUV pellicle is ready for the next step: industrialization” Paper No. 9422-62.

[17] 

van Schoot, J., et al., , “EUV lithography scanner for sub-8 nm resolution (Invited Paper),” Paper No. 9422-50.van Schoot, J., et al., , “EUV lithography scanner for sub-8 nm resolution (Invited Paper),” Paper No. 9422-50.

[18] 

Migura, S., et al., , “EUV lithography optics for sub-9 nm resolution,” Paper No. 9422-51.Migura, S., et al., , “EUV lithography optics for sub-9 nm resolution,” Paper No. 9422-51.

[19] 

Neumann, J., et al., , “Imaging performance of EUV lithography optics configuration for sub-9 nm resolution,” Paper No. 9422-52.Neumann, J., et al., , “Imaging performance of EUV lithography optics configuration for sub-9 nm resolution,” Paper No. 9422-52.

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9422", Proc. SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, 942201 (22 April 2015); https://doi.org/10.1117/12.2192263
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Extreme ultraviolet

Inspection

Lithography

Imaging systems

Scanners

Back to Top