Paper
22 March 2016 Enhanced patterning by tilted ion implantation
Sang Wan Kim, Peng Zheng, Kimihiko Kato, Leonard Rubin, Tsu-Jae King Liu
Author Affiliations +
Abstract
Tilted ion implantation (TII) is proposed as a lower-cost alternative to self-aligned double patterning (SADP) for pitch halving. This new approach is based on an enhancement in etch rate of a hard-mask layer by implant-induced damage. Ar+ implantation into a thin layer of silicon dioxide (SiO2) is shown to enhance its etch rate in dilute hydrofluoric acid (HF) solution, by up to 9× for an implant dose of 3×1014 cm-2. The formation of sub-lithographic features defined by masked tilted Ar+ implantation into a SiO2 hard-mask layer is experimentally demonstrated. Features with sizes as small as ~21 nm, self-aligned to the lithographically patterned mask, are achieved. As compared with SADP, enhanced patterning by TII requires far fewer and lower-cost process steps and hence is expected to be much more cost-effective.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sang Wan Kim, Peng Zheng, Kimihiko Kato, Leonard Rubin, and Tsu-Jae King Liu "Enhanced patterning by tilted ion implantation", Proc. SPIE 9777, Alternative Lithographic Technologies VIII, 97771B (22 March 2016); https://doi.org/10.1117/12.2218793
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Ion implantation

Silicon

Lithography

Photomasks

Wet etching

Binary data

Back to Top