Presentation
10 April 2024 MBMW-301: Multi-beam mask writer technology is entering the angstrom era
Stefan Kuhn, Christof Klein, Hans Loeschner, Elmar Platzgummer
Author Affiliations +
Abstract
Since the introduction of the MBMW-101 generation in 2016, IMS Nanofabrication’s multi-beam mask writers have been established as the leading tools for the most advanced mask nodes. Over the years, IMS multi-beam technology has been improved continuously and the latest MBMW-201+ and MBMW-261 writer generations have proven their capability to pattern most complex curvilinear structures for advanced EUV masks at maximum throughput, especially on medium to low sensitivity resist. In 2023, IMS has taken another revolutionary step and released the MBMW-301, which is targeted at the 2nm-node and beyond to enable High-NA EUV mask production. This presentation will showcase most recent performance data and highlight how the introduction of the MBMW-301 generation is paving the way towards entering the angstrom era.
Conference Presentation
© (2024) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Stefan Kuhn, Christof Klein, Hans Loeschner, and Elmar Platzgummer "MBMW-301: Multi-beam mask writer technology is entering the angstrom era", Proc. SPIE PC12956, Novel Patterning Technologies 2024, PC1295606 (10 April 2024); https://doi.org/10.1117/12.3012898
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Advanced patterning

Nanofabrication

RELATED CONTENT


Back to Top