Paper
29 March 2013 New spin-on metal hardmask materials for lithography processes
Author Affiliations +
Abstract
Since the critical dimensions in integrated circuit (IC) device fabrication continue to shrink below 32 nm, multilayer stacks with alternating etch selectivities are required for successful pattern transfer from the exposed photoresist to the substrate. Inorganic resist underlayer materials are used as hard masks in reactive ion etching (RIE) with oxidative gases. The conventional silicon hardmask has demonstrated good reflectivity control and reasonable etch selectivity. However, some issues such as the rework of trilayer stacks and cleaning of oxide residue by wet chemistry are challenging problems for manufacturability. The present work reveals novel spin-on underlayer materials containing significant amounts of metal oxides in the film after baking at normal processing conditions. Such an inorganic metal hardmask (MHM) has excellent etch selectivity in plasma etch processes of the trilayer stack. The composition has good long term shelf life and pot life stability based on solution LPC analysis and wafer defect studies, respectively. The material absorbs DUV wavelengths and can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity under DUV exposure of photoresist. Some of these metal-containing materials can be used as an underlayer in EUV lithography to significantly enhance photospeed. Specific metal hard masks are also developed for via or trench filling applications in IRT processes. The materials have shown good coating and lithography performance with a film thicknesses as low as 10 nm under ArF dry or immersion conditions. In addition, the metal oxide films or residues can be partially or completely removed by using various wet-etching solutions at ambient temperature.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Huirong Yao, Salem Mullen, Elizabeth Wolfer, Dalil Rahman, Clement Anyadiegwu, Douglas Mckenzie, Alberto Dioses, Joonyeon Cho, and Munirathna Padmanaban "New spin-on metal hardmask materials for lithography processes", Proc. SPIE 8682, Advances in Resist Materials and Processing Technology XXX, 86820S (29 March 2013); https://doi.org/10.1117/12.2011226
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Metals

Semiconducting wafers

Photomasks

Silicon

Coating

Oxides

Back to Top