Paper
2 April 2014 Novel in-line metrology methods for Fin pitch walking monitoring in 14nm node and beyond
Robin Chao, Kriti Kohli, Yunlin Zhang, Anita Madan, G. Raja Muthinti, Augustin J. Hong, David Conklin, Judson Holt, Todd C. Bailey
Author Affiliations +
Abstract
Integrated circuits from 22nm node and beyond utilize many innovative techniques to achieve features that are well beyond the resolution limit of 193nm immersion lithography. The introduction of complex 3D structures in device design presents additional challenges that require more sophisticated metrology with high accuracy and precision. One such example is pitch walking induced by multiple-patterning techniques. Quantification of pitch walking has traditionally been a challenge. In this paper, we present two ways of detecting pitch walking using optical and X-ray techniques. In scatterometry, this work investigates the feasibility of non-orthogonal azimuth angle spectroscopic reflectometry setups for Fin pitch walking measurements, which is useful for in-line monitoring in 14nm node microelectronics manufacturing. Simulations show a significant improvement in pitch walking sensitivity using 45 degree azimuth scan. Other relevant considerations for pitch walking modeling in scatterometry, such as parameter correlations, are also discussed. Another approach is using high-resolution X-ray diffraction (HRXRD).Which is sensitive to the crystalline films. Pitch walking is seen as additional peaks in the diffraction and the intensities can be used to quantify the pitch walking. In addition, additional information about the Fin profiles, e.g. sidewall angle, CD and height, can be obtained. Note that in HRXRD measurements, all the parameters are deconvolved from the pitch walking. In this paper, we will discuss the results from measurements using the two techniques and how the combination of the two techniques can give complete information about the fins needed for in-line monitoring.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Robin Chao, Kriti Kohli, Yunlin Zhang, Anita Madan, G. Raja Muthinti, Augustin J. Hong, David Conklin, Judson Holt, and Todd C. Bailey "Novel in-line metrology methods for Fin pitch walking monitoring in 14nm node and beyond", Proc. SPIE 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII, 90501E (2 April 2014); https://doi.org/10.1117/12.2057402
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Scatterometry

Silicon

Metrology

Data modeling

Diffraction

X-ray diffraction

Diffraction gratings

Back to Top