Paper
17 April 2014 Feasibility of compensating for EUV field edge effects through OPC
Author Affiliations +
Abstract
As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the ‘dark’ region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The implementation of these measurements allow for further mitigation, i.e., compensation by OPC. Mentor Graphics’ Calibre software uses the scanner’s point spread function and convolves it with the mask layout to generate a flare map. It also has the capability to add additional dose to the image border which can be optimized to fit the experimental data. This includes the transition region between the image field and border that results in a linear rolloff of dose due to partial shadowing of the REMA blades. By applying this flaremap that accounts for neighboring die to the already calibrated optical and resist models, OPC can now be enabled to compensate for field edge effects. This study has two goals. First, we will show that OPC can be used to compensate both for field edge effects with and without a etched ML border. The second is to investigate the limitations that exist for OPC in the areas altered by neighboring die. This will predict when a process to mitigate the field edge effect is needed to enable EUV HVM.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chris Maloney, James Word, Germain L. Fenger, Ardavan Niroomand, Gian F. Lorusso, Rik Jonckheere, Eric Hendrickx, and Bruce W. Smith "Feasibility of compensating for EUV field edge effects through OPC", Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 90480T (17 April 2014); https://doi.org/10.1117/12.2046203
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical proximity correction

Extreme ultraviolet

Reflectivity

Photomasks

Reticles

Deep ultraviolet

Extreme ultraviolet lithography

RELATED CONTENT

Impact of an etched EUV mask black border on imaging...
Proceedings of SPIE (October 03 2013)
Impact of an etched EUV mask black border on imaging...
Proceedings of SPIE (November 08 2012)
EUV mask stack optimization for enhanced imaging performance
Proceedings of SPIE (September 29 2010)
EUV mask fabrication with Cr absorber
Proceedings of SPIE (July 21 2000)

Back to Top