Paper
19 March 2015 Template affinity role in CH shrink by DSA planarization
R. Tiron, A. Gharbi, P. Pimenta Barros, S. Bouanani, C. Lapeyre, S. Bos, A. Fouquet, J. Hazart, X. Chevalier, M. Argoud, G. Chamiot-Maitral, S. Barnola, C. Monget, V. Farys, S. Berard-Bergery, L. Perraud, C. Navarro, C. Nicolet, G. Hadziioannou, G. Fleury
Author Affiliations +
Abstract
Density multiplication and contact shrinkage of patterned templates by directed self-assembly (DSA) of block copolymers (BCP) stands out as a promising alternative to overcome the limitations of conventional lithography. The main goal of this paper is to investigate the potential of DSA to address contact and via levels patterning with high resolution by performing either CD shrink or contact multiplication. Different DSA processes are benchmarked based on several success criteria such as: CD control, defectivity (missing holes) as well as placement control. More specifically, the methodology employed to measure DSA contact overlay and the impact of process parameters on placement error control is detailed. Using the 300mm pilot line available in LETI and Arkema’s materials, our approach is based on the graphoepitaxy of PS-b-PMMA block copolymers. Our integration scheme, depicted in figure 1, is based on BCP self-assembly inside organic hard mask guiding patterns obtained using 193i nm lithography. The process is monitored at different steps: the generation of guiding patterns, the directed self-assembly of block copolymers and PMMA removal, and finally the transfer of PS patterns into the metallic under layer by plasma etching. Furthermore, several process flows are investigated, either by tuning different material related parameters such as the block copolymer intrinsic period or the interaction with the guiding pattern surface (sidewall and bottom-side affinity). The final lithographic performances are finely optimized as a function of the self-assembly process parameters such as the film thickness and bake (temperature and time). Finally, DSA performances as a function of guiding patterns density are investigated. Thus, for the best integration approach, defect-free isolated and dense patterns for both contact shrink and multiplication (doubling and more) have been achieved on the same processed wafer. These results show that contact hole shrink and multiplication approach using DSA is well compatible with the conventional integration used for CMOS technology.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
R. Tiron, A. Gharbi, P. Pimenta Barros, S. Bouanani, C. Lapeyre, S. Bos, A. Fouquet, J. Hazart, X. Chevalier, M. Argoud, G. Chamiot-Maitral, S. Barnola, C. Monget, V. Farys, S. Berard-Bergery, L. Perraud, C. Navarro, C. Nicolet, G. Hadziioannou, and G. Fleury "Template affinity role in CH shrink by DSA planarization", Proc. SPIE 9423, Alternative Lithographic Technologies VII, 942317 (19 March 2015); https://doi.org/10.1117/12.2085819
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 5 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Directed self assembly

Polymethylmethacrylate

Lithography

Semiconducting wafers

Picosecond phenomena

Error analysis

Etching

Back to Top