Open Access Paper
23 April 2015 Front Matter: Volume 9428
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9428, including the Title Page, Copyright information, Table of Contents, Authors, Introduction (if any), and Conference Committee listing.

23–25 February 2015

San Jose, California, United States

Cosponsored by

Lam Research Corporation (United States)

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Advanced Etch Technology for Nanopatterning IV, edited by Qinghuang Lin, Sebastian U. Engelmann, Proceedings of SPIE Vol. 9428 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628415308

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) • Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9428_942801_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B...0Z, followed by 10-1Z, 20-2Z, etc.

Altamirano-Sánchez, E., 0C

Argoud, M., 0D

Arnold, John, 0A, 0F

Arnold, Michael S., 0T

Azuma, T., 0S

Barnola, S., 0B, 0D

Beique, Genevieve, 0A, 0F

Biolsi, Peter, 0G

Boemmels, J., 0W

Boettcher, Monika, 0V

Bos, S., 0D

Braithwaite, N. St. J., 0C

Brouri, M., 0W

Chevalier, X., 0D

Chiba, Yuki, 0F

Choi, Jonathan W., 0T

Claveau, G., 0D

Cooke, Mike, 0V

Darnon, M., 0B

Das, Sanjana, 0G

De Gendt, S., 0C

de Marneffe, Jean-François, 0C, 0J

Demuynck, S., 0W

De Schepper, P., 0C

DeVillers, Anton, 0G

Do, Thuy, 0I

Dupuy, E., 0B

el Otell, Ziad, 0C, 0J

Farrell, Richard, 0G

Feurprier, Yannick, 0F

Fouchier, M., 0B

Franke, Elliott, 0G

Friddle, Phil, 0A

Gharbi, A., 0D

Goodyear, Andy, 0V

Gopalan, Padma, 0T

Goss, Michael, 0A

Gottscho, Richard A., 09

Grampeix, H., 0B

Hamieh, Bassem, 0A, 0F

Han, Eungnak, 0T

Han, Qiu-Hua, 0X

Hansel, Leander, 0J

Hara, Arisa, 0H

Hashimoto, Kohji, 07

Huang, Ruixuan, 0X

Ito, Kiyohito, 0G

Joubert, O., 0B

Jung, Sunwook, 0I

Jung, Taewoo, 06

Kamarthy, Gowri, 09

Kanai, H., 0S

Kanarik, Keren J., 09

Kasahara, Y., 0S

Kawamonzen, Y., 0S

Kihara, N., 0S

Kim, Myungwoong, 0T

Kim, Yongjin, 06

Kimura, Yoshie, 09

Ko, Akiteru, 0G

Kobayashi, K., 0S

Kodera, K., 0S

Koike, Kyohei, 0H

Kolb, Tristan, 0J

Kubota, H., 0S

Kubota, Shinji, 0N

Kubota, Tomihiro, 0N

Kumar, Kaushik, 0F, 0G

Kunnen, E., 0W

Kwak, Nohjung, 06

Kyoh, Suigen, 07

Labelle, Catherine, 0A, 0F

Labonte, Andre, 0A, 0F

Lapeyre, C., 0D

Lee, Byoungseok, 06

Lee, Changwoo, 0A

Lee, Sangdo, 06

Leobandung, E., 05

Lill, Thorsten, 09

Liu, Chinchao, 0Y

Liu, Eric, 0G

Lutker-Lee, Katie, 0F

Marinov, D., 0C

Marks, Jeffrey, 09

Matsumoto, Hiroie, 0F

Matsumoto, Takanori, 07

Matsushita, Takaya, 07

Meng, Xiao-Ying, 0X

Metz, Andrew, 0F

Mignot, Yann, 0A, 0F

Minegishi, S., 0S

Miyagi, K., 0S

Miyama, Ryo, 0N

Mohanty, Nihar, 0G

Monget, C., 0D

Moyama, Kazuki, 0N

Mucci, John, 0A

Mukai, Hidefumi, 07

Nagabhirava, Bhaskar, 0A

Natori, Sakurako, 0H

Navarro, C., 0D

Nawa, Kenjiro, 0G

Neuber, Christian, 0J

Nicolet, C., 0D

Nomura, S., 0S

Nozawa, Toshihisa, 0N

Okabe, Noriaki, 0H

O’Meara, Dave, 0G

Oyama, Kenichi, 0H

Pargon, E., 0B

Park, Sungki, 06

Pimenta-Barros, P., 0B, 0D

Posseme, N., 0D

Pradelles, J., 0B

Raley, Angelique, 0G

Ranjan, Alok, 0G, 0O

Rassoul, Nouradine, 0A

Rastogi, Vinayak, 0F

Reichl, Gary, 0Y

Ringk, Andreas, 0J

Ryckaert, J., 0W

Safron, Nathaniel S., 0T

Samukawa, Seiji, 0N

Sarrazin, A., 0D

Sato, H., 0S

Scheer, Steven, 0G

Schmitz, Stefan, 0A

Seino, Y., 0S

Servin, I., 0D

Shen, Meihua, 09

Sherpa, Sonam, 0O

Shiraishi, M., 0S

Smith, Jeffrey, 0G

Stolberg, Ines, 0V

Sturtevant, John, 0I

Tallaron, C., 0D

Tan, Samantha, 09

Tiron, R., 0D

Tobana, T., 0S

Vahedi, Vahid, 09

Ventzek, Peter, 0O

Versluijs, J., 0W

Wang, Mingmei, 0G, 0O

Wang, Peng, 0A

Wu, Jian, 0A

Yaegashi, Hidetami, 0H

Yamato, Masatoshi, 0H

Yamauchi, Shohei, 0H

Yang, Richard, 0A

Zhang, Hai-Yang, 0X

Conference Committee

Symposium Chair

  • Mircea V. Dusa, ASML US, Inc. (United States)

Symposium Co-chair

  • Bruce W. Smith, Rochester Institute of Technology (United States)

Conference Chair

  • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

Conference Co-chair

  • Sebastian U. Engelmann, IBM Thomas J. Watson Research Center (United States)

Conference Program Committee

  • Julie Bannister, Tokyo Electron America, Inc. (United States)

  • Sang-Hoon Cho, SK Hynix, Inc. (Korea, Republic of)

  • Maxime Darnon, LTM CNRS (France)

  • Eric A. Hudson, Lam Research Corporation (United States)

  • Catherine B. Labelle, GLOBALFOUNDRIES, Inc. (United States)

  • Nae-Eung Lee, Sungkyunkwan University (Korea, Republic of)

  • Gottlieb S. Oehrlein, University of Maryland, College Park (United States)

  • Erwine Pargon, LTM CNRS (France)

  • Nicolas Posseme, CEA-LETI (France)

  • Ricardo Ruiz, HGST (United States)

  • Seiji Samukawa, Tohoku University (Japan)

  • Robert Turkot, Intel Corporation (United States)

  • Rich Wise, Lam Research Corporation (United States)

  • Jeff Xu, Qualcomm Technologies, Inc. (United States)

  • Anthony Yen, TSMC Taiwan (Taiwan)

  • Ying Zhang, Applied Materials, Inc. (United States)

Session Chairs

  • 1 Overviews of Nanopatterning Challenges

    • Catherine Labelle, GLOBALFOUNDRIES, Inc. (United States)

    • Rich Wise, Lam Research Corporation (United States)

  • 2 Nanopatterning for Advanced Logic and Memory Technology Nodes

    • Sang-Hoon Cho, SK Hynix, Inc. (Korea, Republic of)

    • Eric A. Hudson, Lam Research Corporation (United States)

    • Robert Turkot, Intel Corporation (United States)

  • 3 Plasma and Resist Interactions, including Patterning Quality Control for LER, CD Uniformity, etc.

    • Erwine Pargon, LTM CNRS (France)

    • Sebastian U. Engelmann, IBM Thomas J. Watson Research Center (United States)

  • 4 Patterning Integration Schemes: Multilayer Patterning, Self-Aligned Patterning, etc.

    • Nicolas Posseme, CEA-LETI (France)

    • Erwine Pargon, LTM CNRS (France)

  • 5 Patterning Materials and Etch: Joint Session with Conferences 9425 and 9428

    • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

    • Thomas I. Wallow, Brion Technologies, Inc. (United States)

  • 6 Materials and Etch in Emerging Technologies: Joint Session with Conferences 9425 and 9428

    • Christoph K. Hohle, Fraunhofer Institute for Photonic Microsystems (Germany)

    • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

  • 7 New Plasma Sources and New Etching Technologies

    • Seiji Samukawa, Tohoku University (Japan)

    • Nicolas Posseme, CEA-LETI (France)

    • Julie Bannister, Tokyo Electron America, Inc. (United States)

  • 8 Emerging Patterning Technologies in DSA and Others

    • Ying Zhang, Applied Materials, Inc. (United States)

    • Ricardo Ruiz, HGST (United States)

Introduction

This proceedings volume features accepted papers from the SPIE Conference on Advanced Etch Technology for Nanopatterning IV (The SPIE Etch Conference) held as part of the International Symposium on Advanced Lithography, 22–26 February 2015 in San Jose, California. These proceedings papers cover the latest advances in the wide field of etch and nanopatterning technology and offer a glimpse of the state of the art of this important field of semiconductor technology.

This year’s conference continued the fine tradition of wide international representation and attracted many researcher from related fields. For the first time, our conference lasted two full days and was divided into eight sessions, all of which continued to garner tremendous interest among conference attendees.

  • Overview of Nanopatterning Challenges

  • Nanopatterning for Advanced Logic and Memory Technology Nodes

  • Plasma and Resist Interactions, including Patterning Quality Control for LER, CD Uniformity, etc.

  • Paterning Integration Schemes: Multilayer Patterning, Self-Aligned Patterning, etc.

  • Patterning Materials and Etch: Joint Session with Conference 9425

  • Materials and Etch in Emerging Technologies: Joint Session with Conference 9425

  • New Plasma Sources and New Etching Technologies

  • Emerging Patterning Technologies in DSA and Others

This year, the conference hosted two well-attended joint sessions on Patterning Materials and Etch as well as Materials and Etch in Emerging Technologies with the Advances in Patterning Materials and Processes Conference. The Overview Session, where some of the most important fundamental issues being faced in the world of nanopatterning and etch were discussed, drew very big crowds.

We hope that this proceedings volume will prove valuable to the many patterning scientists and engineers working in the fast-moving semiconductor industry. We also hope that it will serve as a useful reference for those who are interested in nanofabrication, micro- and nano-fluidics, micro- and nanophotonics, Micro-Electro-Mechanical Systems (MEMS), BioMEMS, organic electronics, advanced packaging, as well as bio-chips.

We thank the authors, particularly the invited speakers, for their valuable contributions to this conference and this proceedings volume. The SPIE Etch Conference is highly regarded among the worldwide patterning community due to the high quality of presentations and proceedings papers.

We also thank members of the organizing committee for their dedication and hard work to ensure the high quality of this conference. We are also grateful to LAM Research Corporation for their generous financial support. Finally, we extend our sincere thanks to the SPIE staff for their tireless efforts and their meticulous organizational skills in assembling and publishing this proceedings volume and helping make this year’s SPIE Etch Conference a success.

Qinghuang Lin Sebastian U. Engelmann

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9428", Proc. SPIE 9428, Advanced Etch Technology for Nanopatterning IV, 942801 (23 April 2015); https://doi.org/10.1117/12.2193022
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Optical lithography

Electron beam lithography

Nanostructures

Plasma

Nanotechnology

Photoresist processing

Back to Top