Paper
18 March 2016 Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography
Author Affiliations +
Abstract
Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16 nm HP resolution, demonstrating the need for alternative resist solutions at 13 nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research enabling the characterization and development of new resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Elizabeth Buitrago, Seiji Nagahara, Oktay Yildirim, Hisashi Nakagawa, Seiichi Tagawa, Marieke Meeuwissen, Tomoki Nagai, Takehiko Naruoka, Coen Verspaget, Rik Hoefnagels, Gijsbert Rispens, Gosuke Shiraishi, Yuichi Terashita, Yukie Minekawa, Kosuke Yoshihara, Akihiro Oshima, Michaela Vockenhuber, and Yasin Ekinci "Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography", Proc. SPIE 9776, Extreme Ultraviolet (EUV) Lithography VII, 97760Z (18 March 2016); https://doi.org/10.1117/12.2220026
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line width roughness

Electroluminescence

Extreme ultraviolet lithography

Lithography

Scanning electron microscopy

Extreme ultraviolet

Photomasks

Back to Top