Paper
5 October 2016 Scanning coherent scattering methods for actinic EUV mask inspection
Author Affiliations +
Abstract
Actinic mask inspection for EUV lithography with targeted specifications of resolution, sensitivity, and throughput remains a big hurdle for the successful insertion of EUVL into high volume manufacturing and effective solutions are needed to address this. We present a method for actinic mask inspection based on scanning coherent scattering microscopy. In this method, the mask is scanned with an EUV beam of relatively small spot size and the scattered light is recorded with a pixel detector. Customized algorithms reconstruct the aerial image by iteratively solving the phaseproblem using over-determined diffraction data gathered by scanning across the specimen with a finite illumination. This approach provides both phase and amplitude of actinic aerial images of the mask with high resolution without the need to use high NA (numerical aperture) lenses. Futher, we describe a reflective mode EUV mask scanning lensless imaging tool (RESCAN), which was installed at the XIL-II beamline and later at the SIM beamline of the Swiss Light Source and show reconstructed aerial images down to 10 nm (on-wafer) resolution. As a complementary method, the a-priori knowledge of the sample is employed to identify potential defect sites by analyzing the diffraction patterns. In this method, the recorded diffraction patterns are compared with the die or database data (i.e. previously measured or calculated diffraction data from the defect-free mask layout respectively) and their difference is interpreted as the defect signal. Dynamic software filtering helps to suppress the strong diffraction from defect-free structures and allows registration of faint defects with high sensitivity. Here, we discuss the basic principles of these Fourier domain techniques and its potential for actinic mask inspection with high signal-to-noise ratio and high throughput.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Y. Ekinci, P. Helfenstein, R. Rajeev, I. Mochi, I. Mohacsi, J. Gobrecht, and S. Yoshitake "Scanning coherent scattering methods for actinic EUV mask inspection", Proc. SPIE 9985, Photomask Technology 2016, 99851P (5 October 2016); https://doi.org/10.1117/12.2242961
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Scattering

Extreme ultraviolet

Sensors

Signal to noise ratio

Inspection

Metrology

Back to Top