Open Access Paper
29 November 2016 Front Matter: Volume 9985
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9985, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), "Title of Paper," in Photomask Technology 2016, edited by Bryan S. Kasprowicz, Peter D. Buck, Proceedings of SPIE Vol. 9985 (SPIE, Bellingham, WA, 2016) Six-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510603745

ISBN: 9781510603752 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2016, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/16/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00270_psisdg9985_998501_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a six-digit CID article numbering system structured as follows:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B...0Z, followed by 10-1Z, 20-2Z, etc.

Abaidi, Mohamed, 07

Abdelghany, Hesham, 0O, 2A

Adelmann, Christoph, 0C

Agarwal, Ankita, 19

Aghili, Ali, 1G

Ahn, Byung-Sup, 06

Akima, Shinji, 1Y

Ando, Akihiko, 2E

Applegarth, Chuck, 23

Arnoux, Vincent, 1A

Asada, Hironori, 1L

Asthana, Abhishek, 25

Azuma, Hisanobu, 1G

Azumi, Minako, 1X

Babin, Sergey, 13

Badger, Karen D., 0O, 1Y

Ballman, Katherine, 0N

Bandoh, Hideaki, 1M

Baron, Stanislas, 1A

Barouch, Eytan, 0G

Bean, Alexander, 0N

Bendiksen, Aage, 0A

Beyer, Dirk, 1W

Biafore, John, 0X

Biyajima, Tsuneaki, 0B

Bolton, John, 1C

Borisov, Sergey, 13

Brinkley, David, 16

Broman, Par, 0A

Brouns, Derk, 0A

Browning, Matt, 23

Buck, Peter, 0V, 19

Bugata, Bharathi, 0L

Bugata, Raj, 1Z

Cao, Liang, 25

Casimiri, Eric, 0A

Chalom, Daniel, 0R

Chang, Peter, 15

Chen, Chien Kang, 1M

Chen, Chun-Jen, 1Z

Chen, Norman, 28

Chen, Po Tsang, 0Y

Chen, Yi Ting, 0Y

Cheng, James, 1M

Cheng, Jeffrey, 1M

Chiang, Kaiming, 1Z

Chishima, Tatsuya, 1H

Choi, Jin, 06

Choi, Jin, 1G

Choi, Min-Ki, 0D

Choi, Yohan, 0R, 21

Chou, William, 0Y, 1M

Colsters, Paul, 0A

de Graaf, Dennis, 0A

De Volder, Michael, 0C

de Winter, L., 0W

Dechene, Daniel J., 0O, 1Y

Deutz, Alex, 20

Dietze, Uwe, 15

Dillen, Harm, 0M

Dillon, Brian, 0R, 1A

Downey, Jack, 0L

Dress, Peter, 15

Dunn, Thomas, 0N

Ekinci, Y., 1P

Elshafie, Shady, 27

Fahrenkopf, Michael, 1J

Fan, Dongsheng, 0L

Faure, Thomas, 1J

Feng, Jui-Hsuan, 25

Finders, Jo, 0M, 0W

Fu, Nan, 27

Gallagher, Emily, 0C

Garetto, Anthony, 1Q

Ge, Haiming, 1N

Geller, Paul, 19

Giridhar, Dushyanth, 24

Gobrecht, J., 1P

Gopalakrishnan, Sandhya, 1Z

Graves, Trey, 0X

Green, Michael, 0R, 21

Grenon, Brian J., 16

Guo, Eric, 1N, 22

Hahn, Jae W., 1S

Ham, Young, 0R, 21

Hamaji, Masakazu, 1A

Hamaker, H. Christopher, 19

Hamouda, Ayman, 2A

Han, Hakseung, 1W

Hanabata, Makoto, 1I, 2C

Harada, Tetsuo, 0K, 1T

Harashima, Noriyuki, 1H

Harrold, Hilary, 0A

Hashimoto, Hiraku, 0K, 1T

Helfenstein, P., 1P

Hennus, Piet, 0A

Hess, Carl, 0L

Himmelhaus, Michael, 1Q

Hollemans, Christiaan, 20

Hoogstrate, André, 20

Hoshino, Ryoichi, 1L

Hsu, Jyh-Wei, 15, 24

Hsu, Sheng-Chang, 1Z

Huang, Jin, 1N

Hudek, Peter, 0R

Hur, Ik Boum, 0R

Huyghebaert, Cedric, 0C

Hwa, George, 1Z

Ishikawa, Hisako, 0B

Iso, Hiroyuki, 1H

Isogawa, Takeshi, 1J

Itoh, Masamitsu, 2E

Iwakuma, Minako, 1L

Jang, Il-Yong, 1S

Janssen, Paul, 0A

Jeon, Chan-Uk, 06, 1S

Jeong, Jin-Woong, 12

Jeong, Woo-Gun, 0D, 12

Jones, Chris E., 1G

Jorge, Vasco Guerreiro, 0M

Jung, Junhwa, 1S

Jung, Sung-Mo, 0D, 12

Jurkovic, Michal, 0R

Kagawa, Masayuki, 1J

Kambayashi, Takashi, 1X

Kamberian, Henry, 0R

Kameda, Takao, 1I, 2C, 2D

Kamikubo, Takashi, 08

Kanamitsu, Shingo, 2E

Kang, Minwook, 1S

Kasprowicz, Bryan, 0R, 0T

Kato, Yasuo, 08

Katsap, Victor, 08

Kerkhof, Peter, 20

Keswani, Manish, 24

Kim, Byung-Gook, 1S

Kim, Guk-Jin, 0G

Kim, In-Seon, 0G, 1K

Kim, Kyu-Sik, 12

Kim, Min-Su, 0G

Kim, Sei-Min, 0D

Kim, Youngkeun, 1S

Kishimura, Yukiko, 1L

Klein, Christof, 05

Klikovits, Jan, 0R

Kobayashi, Ryoei, 08

Kohmura, Kazuo, 0B

Komagata, Tadashi, 13

Koster, Norbert, 20

Kramer, Ronald, 0A

Kruizinga, Matthias, 0A

Kuntzel, Henk, 0A

Lafarre, Raymond, 0A

Lai, Rick, 15

Lakkapragada, Suresh, 1Z

Lan, Andy, 21

Landoni, Cristian, 23

Last, T., 0W

Lee, Adder, 1M

Lee, Changmin, 1S

Lee, Christopher, 0N

Lee, Ho-Jin, 12

Lee, Hong Jen, 1M

Lee, Hyun-Ju, 1K

Lee, Jae Uk, 0C

Lee, Jong-Hwa, 0D

Lee, Myungjun, 0X

Lee, Sang Hee, 06

Lercel, Michael, 0T

Levy, Ady, 0X

Lin, Chia-Shih, 15

Lin, Howard, 21

Lin, Yen-Hung, 0L

Lio, En Chuan, 0Y

Lu, Colbert, 1M

Lu, Max, 1N, 22

Lung, Mike, 21

Ma, Ai-Jay, 15

Macchi, Giorgio, 23

Madhusudhan, Saikiran, 0V

Mancuso, Andrea, 0A

Matsui, Hideki, 08

Matsuoka, Yoichi, 1G

McMurran, Jeff, 0R, 21

Militsin, Vladimir, 13

Miyamoto, Nobuo, 08

Mizuno, Makoto, 1G

Mochi, I., 1P

Mohacsi, I., 1P

Molkenboer, Freek, 20

Morishita, Keiko, 2E

Motokawa, Takeharu, 2E

Muilwijk, Pim, 20

Mulckhuyse, Wouter, 20

Muramatsu, Tomoyuki, 1A

Nakagawa, Kazuki, 1G

Nakahashi, Satoshi, 08

Nakajima, Shinya, 1I

Nakajima, Shinya, 2C

Nakayama, Takahiro, 1G

Nakayamada, Noriaki, 08

Nam, Kee-Soo, 0D

Nash, Steven, 1J

Naulleau, Patrick, 0I

Neureuther, Andy, 0I

Nijland, Björn, 20

Ning, Guoxiang, 25, 27

Nomura, Haruyuki, 08

Ochiai, Shunsuke, 1L

Ockwell, David, 0A

Ogasawara, Munehiro, 08

Oh, Hye-Keun, 0G, 1K

Ohara, Shuichiro, 1A

Okada, Nagaya, 24

Okubo, Atsushi, 0B

Ono, Yosuke, 0B

Oostdijck, Bastiaan, 20

Park, Eun-Sang, 1K

Park, Jinback, 1W

Park, Jin-Goo, 0G

Park, Joong Hee, 0R

Park, Youngjin, 1D

Peng, Ming Chun, 0Y

Peng, Yi-Hsing, 1A

Pirola, Simona, 23

Platzgummer, Elmar, 05

Plug, Reinder, 0M

Pocobiej, Wojciech, 0M

Pollentier, Ivan, 0C

Qi, Zhengqing John, 17

Rabellino, Larry, 23

Rajagopalan, Archana, 19

Rajeev, R., 1P

Rankin, Jed, 0O, 1J

Rao, Nagswara, 19

Riddle Vogt, Sarah, 23

Roling, Stefan, 27

Saib, Mohamed, 07

Saito, Kenichi, 08

Saito, Masato, 2E

Sakai, Fumio, 1G

Sakamoto, Yoshifumi, 1J

Samayoa, Martin, 15

Sandstrom, Tor, 1D

Santo, Izumi, 1M

Sato, Chiaki, 1G

Schellekens, Twan, 0M

Scheruebl, Thomas, 1Q, 1W

Schiavone, Patrick, 07

Schulz, Kristian, 1Q

Seki, Junichi, 1G

Seki, Kazunori, 0O, 1Y

Seo, Seong-Min, 0D

Shi, Irene, 22

Shin, Cheol, 0D

Shin, In Kyun, 06

Sijben, Anko, 0M

Smith, Daniel, 0A

Smith, Mark D., 0X

Solowan, Hans-Michael, 1W

Song, Jin-Han, 12

Sonoda, Manae, 1L

Steinert, Steffen, 1W

Succi, Marco, 23

Suenaga, Machiko, 2E

Suganuma, Mizuna, 08

Sugino, Naoto, 1I, 2C, 2D

Tabbone, Gilles, 1Q

Takabayashi, Yukio, 1G

Takayama, Tomohiro, 1L

Takei, Satoshi, 1I, 2B, 2C, 2D

Tamamushi, Shuichi, 06

Tanabe, Mana, 2E

Taneichi, Daiki, 0B

Taylor, Ron, 0L

te Sligte, Edwin, 20

ten Berge, Peter, 0M

Tian, Mingjing, 1N

Timmermans, Marina, 0C

Toda, Yusuke, 1J

Tolani, Vikram, 1Z

Tortai, Jean-Hervé, 07

Tritchkov, Alexander, 0V

Tsunoda, Dai, 1A

Tuo, Laurent, 15, 1Z

Turley, Christina, 0O

Tzeng, Alex CP, 1M

Vaenkatesan, Vidya, 0M

van Adrichem, P., 0W

van de Weg, David, 0A

van der Walle, Peter, 20

van Dijck, Jurgen, 0M

van Putten, Michel, 20

Vanpaemel, Johannes, 0C

Wahlsten, Mikael, 1D

Wakatsuki, Tetsuro, 13

Wang, Changan, 1C

Wang, Jingyu, 28

Wang, Ran, 1N

Wang, Yow-Gwo, 0I

Wang, Z., 0W

Watanabe, Takeo, 0K, 1T

Wei, Alexander, 28

Wei, Chih I, 0Y

Wiley, Jim, 0A

Wilkinson, William, 1C, 25, 28

Wistrom, Richard, 1J

Wood, Jeffrey, 0L

Word, James, 0V

Wu, Yijun, 1N

Wylie, Mark, 0L

Xu, Yan, 1C

Yagami, Takashi, 1X

Yagawa, Keisuke, 2E

Yam, Petrie, 24

Yamamoto, Kiyohito, 1G

Yamanaka, Eiji, 2E

Yan, Shichuan, 1N

Yang, Chin-Ting, 1Z

Yang, Ray, 1M

Yang, Richer, 21

Yashima, Jun, 08

Yeung, Michael, 0G

Yonetani, Masashi, 1Y

Yoon, Young-Jin, 12

Yoshitake, S., 1P

Yu, Chun Chi, 0Y

Yu, Tuan-Yen, 0Y

Yun, Sang-Pil, 12

Zahedmanesh, Houman, 0C

Zanelli, Claudio, 24

Zhang, Bidan, 1C

Zhang, Dan, 0V

Zhang, Hao, 1M

Zhang, Jie, 25

Zhang, Xiaolong, 1A

Zhao, Shuo, 17

Zimmerman, John, 0N

Zou, Yi, 1A

Zweber, Amy, 1J

Conference Committee

Symposium Chair

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

Symposium Co-chair

  • Peter D. Buck, Mentor Graphics Corporation (United States)

Conference Chair

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

Conference Co-chair

  • Peter D. Buck, Mentor Graphics Corporation (United States)

BACUS Steering Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Paul C. Allen, Toppan Photomasks, Inc. (United States)

  • Michael D. Archuletta, RAVE LLC (United States)

  • Artur P. Balasinski, Cypress Semiconductor Corporation (United States)

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Brian Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Jerry Cullins, Hoya Corporation (United States)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Brian J. Grenon, RAVE LLC (United States)

  • Jon Haines, Micron Technology, Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Patrick M. Martin, Applied Materials, Inc. (United States)

  • Shane Palmer, Nikon Research Corporation of America (United States)

  • Jan Hendrik Peters, Carl Zeiss SMT GmbH (Germany)

  • Moshe Preil, KLA-Tencor Corporation (United States)

  • Douglas J. Resnick, Molecular Imprints, Inc. (United States)

  • Thomas Struck, Infineon Technologies AG (Germany)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Michael Watt, Shin-Etsu MicroSi, Inc. (United States)

  • Jîm N. Wiley, ASML US, Inc. (United States)

  • Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

Conference Program Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Lucien Bouchard, Photronics Inc. (United States)

  • Ron R. Bozak, RAVE, LLC (United States)

  • Russell B. Cinque, JEOL USA Inc. (United States)

  • Matthew E. Colburn, IBM Corporation (United States)

  • Uwe Dietze, SUSS MicroTec Inc. (United States)

  • Aki Fujimura, D2S, Inc. (United States)

  • Emily E. Gallagher, IMEC (Belgium)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Byung-Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Paul A. Morgan, Micron Technology, Inc. (United States)

  • Takahiro Onoue, HOYA Corporation (Japan)

  • Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

  • Laurent C. Tuo, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)

  • Thomas I. Wallow, ASML Brion (United States)

  • Banqiu Wu, Applied Materials, Inc. (United States)

  • Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

Session Chairs

  • 1 Keynote and Invited Session

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

    Peter Buck, Mentor Graphics Corporation (United States)

  • 2 Advanced Writers

    Frank E. Abboud, Intel Corporation (United States)

    Steffen F. Schulze, Mentor Graphics Corporation (United States)

  • 3 Advanced Materials

    Takahiro Onoue, HOYA Corporation (Japan)

    Banqiu Wu, Applied Materials, Inc. (United States)

  • 4 PMJ 2016

    Peter Buck, Mentor Graphics Corporation (United States)

    Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

  • 5 Student Session

    Peter Buck, Mentor Graphics Corporation (United States)

    Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

  • 6 Inspection and Metrology

    Paul C. Allen, Toppan Photomasks, Inc. (United States)

    Jim N. Wiley, ASML US, Inc. (United States)

  • 7 Mask Manufacturability

    Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

    Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan)

  • 8 End User Analysis

    Emily E. Gallagher, IMEC (Belgium)

    Shane R. Palmer, Nikon Research Corporation of America (United States)

  • 10 Process

    Uwe Dietze, SUSS MicroTec Inc. (United States)

    Paul A. Morgan, Micron Technology, Inc. (United States)

  • 11 Cleaning and Repair

    Uwe F. W. Behringer, UBC Microelectronics (Germany)

    Brian J. Grenon, RAVE LLC (United States)

  • 12 Advanced EDA

    Bala Thumma, Synopsys, Inc. (United States)

    Shuichiro Ohara, Nippon Control System Corporation (United States)

  • 13 Alternative Lithography

    Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

    Ron R. Bozak, RAVE, LLC (United States)

© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9985", Proc. SPIE 9985, Photomask Technology 2016, 998501 (29 November 2016); https://doi.org/10.1117/12.2256530
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Electron beam lithography

Visualization

Yield improvement

Inspection

Nanoimprint lithography

Back to Top