Presentation + Paper
24 March 2017 Actinic review of EUV masks: performance data and status of the AIMS EUV system
Dirk Hellweg, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli
Author Affiliations +
Abstract
The EUV mask infrastructure is of key importance for the successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks an actinic review of potential defect sites is required. ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed such an EUV aerial image metrology system, the AIMS™ EUV, with the prototype tool regularly being used for customer measurement campaigns and the first system shipped to customer end of last year. In this paper, we provide an update on the system performance and present quantitative measurements of the impact of mask surface roughness on the aerial image. We show that an increasing amount of effects is only visible in actinic aerial imaging and discuss potential benefits of aerial image based mask qualification.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Dirk Hellweg, Markus Koch, Sascha Perlitz, Martin Dietzel, and Renzo Capelli "Actinic review of EUV masks: performance data and status of the AIMS EUV system", Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101430J (24 March 2017); https://doi.org/10.1117/12.2261662
Lens.org Logo
CITATIONS
Cited by 8 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Line width roughness

Extreme ultraviolet lithography

Semiconducting wafers

Surface roughness

Scanners

Back to Top