Paper
24 March 2017 2D self-aligned via patterning strategy with EUV single-exposure in 3nm technology
Author Affiliations +
Abstract
EUV lithography (EUVL) is rising up as a solution of sub-10nm technology node via patterning. Due to better resolution of EUVL than it of immersion ArF (iArF) lithography, multiple iArF masks can be replaced by one EUV mask. However, for 24nm by 24nm metal grid, two diagonally neighboring vias yield either contour of two holes or peanut-shape contour. Because of the large variability of the via contours, the two vias are separably patterned with two different masks. We propose to insert bridge patterns (BPs) at the middle of the diagonally neighboring vias, so that single EUV exposure can draw peanut-shape contour consistently. In this study, we also assume 2D self-aligned via (2D SAV) which can align via holes in both vertical and horizontal direction for better edge placement error margin, so unique re-targeted via patterns that is called bridged via (BV) appears. We investigate impact of BV size and BP shapes on simulated contour using source mask optimization, and popular BVs are compared in terms of probability of failure which are calculated with Monte-Carlo simulation.
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Suhyeong Choi, Jae Uk Lee, Victor M. Blanco Carballo, Ryoung-Han Kim, and Youngsoo Shin "2D self-aligned via patterning strategy with EUV single-exposure in 3nm technology", Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 1014321 (24 March 2017); https://doi.org/10.1117/12.2257923
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Bridges

Photomasks

Metals

Extreme ultraviolet lithography

Etching

Monte Carlo methods

Dielectrics

RELATED CONTENT


Back to Top