Presentation + Paper
27 March 2017 A novel methodology for litho-to-etch pattern fidelity correction for SADP process
Author Affiliations +
Abstract
For 2x nm node semiconductor devices and beyond, more aggressive resolution enhancement techniques (RETs) such as source-mask co-optimization (SMO), litho-etch-litho-etch (LELE) and self-aligned double patterning (SADP) are utilized for the low k1 factor lithography processes. In the SADP process, the pattern fidelity is extremely critical since a slight photoresist (PR) top-loss or profile roughness may impact the later core trim process, due to its sensitivity to environment. During the subsequent sidewall formation and core removal processes, the core trim profile weakness may worsen and induces serious defects that affect the final electrical performance. To predict PR top-loss, a rigorous lithography simulation can provide a reference to modify mask layouts; but it takes a much longer run time and is not capable of full-field mask data preparation. In this paper, we first brought out an algorithm which utilizes multi-intensity levels from conventional aerial image simulation to assess the physical profile through lithography to core trim etching steps. Subsequently, a novel correction method was utilized to improve the post-etch pattern fidelity without the litho. process window suffering. The results not only matched PR top-loss in rigorous lithography simulation, but also agreed with post-etch wafer data. Furthermore, this methodology can also be incorporated with OPC and post-OPC verification to improve core trim profile and final pattern fidelity at an early stage.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Shr-Jia Chen, Yu-Cheng Chang, Arthur Lin, Yi-Shiang Chang, Chia-Chi Lin, and Jun-Cheng Lai "A novel methodology for litho-to-etch pattern fidelity correction for SADP process", Proc. SPIE 10146, Advances in Patterning Materials and Processes XXXIV, 101460N (27 March 2017); https://doi.org/10.1117/12.2258201
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Etching

Double patterning technology

Photomasks

Optical proximity correction

Semiconducting wafers

Resolution enhancement technologies

RELATED CONTENT


Back to Top