Open Access Paper
19 April 2017 Front Matter: Volume 10146
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10146 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Advances in Patterning Materials and Processes XXXIV, edited by Christoph K. Hohle, Proceedings of SPIE Vol. 10146 (SPIE, Bellingham, WA, 2017) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510607439

ISBN: 9781510607446 (electronic)

Published by SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2017, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/17/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00107_psisdg10146_1014601_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a seven-digit CID article numbering system in which:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript. The complete citation is used on the first page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Ackerman, Christian, 2C

Alokozai, Fred, 1A

Arceo, Abraham, 15

Argoud, Maxime, 1X

Arnold, John, 03, 0Q

Asai, Masaya, 1X

Bae, Sanggil, 1L

Baek, Jaeyeol, 24

Bailey, Todd, 0B, 10

Bao, Ruqiang, 15

Barla, Kathy, 0K

Bayana, Hareen, 2A

Biafore, John J., 09, 0B

Biesemans, Serge, 0G, 1B

Blakey, Idriss, 0D

Blankenship, David, 09

Bowen, Alec, 10

Brainard, Robert L., 05, 2C

Brigo, Laura, 1F

Brouwer, Albert M., 06

Bruce, Robert L., 03, 0J

Brusatin, Giovanna, 1F

Buitrago, Elizabeth, 0G

Burns, Sean, 0Q

Calderas, Eric, 0U

Carcasi, Michael, 0G

Carpenter, Corinne L., 11

Castellanos, Sonia, 06

Cayrefourcq, Ian, 1X

Chamiot-Maitral, Gaëlle,, 1X

Chan, Boon Teik, 0R

Chang, Jack, 1L

Chang, Yi-Shiang, 0N

Chang, Yu-Cheng, 0N

Chen, Jerry, 1A

Chen, K. C., 1P

Chen, Rui, 1D

Chen, Shr-Jia, 0N

Chen, Yulu, 0B

Chevalier, Xavier, 0W

Chi, Cheng, 03, 0Q

Cho, Winston, 1L

Chunder, Anindarupa, 0B

Chung, Kisup, 15

Clancy, Aleksandra, 1D

Claveau, Guillaume, 0W, 1X

Colburn, Matthew, 03

Connor, Patrick, 2B

Constantoudis, Vassilios, 0K

Cook, Matthew T., 1H

Corliss, Daniel, 03, 0Q

Daikoku, Shusaku, 29

Dais, Christian, 0L

Daugherty, Richard, 0U

Dawson, Guy, 13

Decoster, Stefan, 0K

Dei, Satoshi, 0G

Delaney, Kris T., 0Z, 11

Della Giustina, Gioia, 1F

Demmerle, Wolfgang, 0M

Demuynck, Steven, 18

Denbeaux, Greg, 05, 2C

De Roest, David, 0K

De Schepper, Peter, 0I

De Silva, Ekmini Anuja, 03, 07, 15

De Simone, Danilo, 0A, 0G, 0I

Doise, Jan, 0R

Doyle, Gary, 1A

Du, Ke, 0D

D’Urzo, Lucia, 2A

Echigo, Masatoshi, 26

Ekinci, Yasin, 0G, 1F

Endo, Takafumi, 25

Enomoto, Masashi, 1B

Ercken, Monique, 18

Fallica, Roberto, 1F

Farrell, Richard, 03, 0Q

Fedynyshyn, Theodore H., 1H

Felix, Nelson M., 03, 07, 0J, 0Q, 15

Fiehler, Jeffrey, 0U

Fonseca, Carlos, 1B

Foubert, Philippe, 0G, 2A

Franke, Elliott, 03

Fredrickson, Glenn H., 0Z, 11

Freedman, Daniel A., 05

Fujita, Mitsuhiro, 0E

Furukawa, Tsuyoshi, 03, 0Q

Furutani, Hajime, 0E

Gao, Weimin, 0M

Gharbi, Ahmed, 0W

Glodde, Martin, 07, 0J

Goldfarb, Dario L., 07

Goto, Akiyoshi, 0E

Graves, Trey, 09

Gronheid, Roel, 0R

Grzeskowiak, Steven, 05, 2C

Guerrero, Douglas J., 0U, 0W

Guo, Jing, 0Q

Haitjema, Jarich, 06

Hamzik, James, 1J, 2A

Han, Miyeon, 16, 23

Hanabata, Makoto, 1G, 1I, 1K

Hara, Arisa, 1N

Harumoto, Masahiko, 0W, 1X

Hashimoto, Keisuke, 25

Hashimoto, Yuto, 17

Hetzer, David, 03, 0Q

Himi, Toshiyuki, 1Z

Hinsberg, W. D., 04

Hockey, Mary Ann, 0U

Hopf, Toby, 18

Hopstaken, M. J. P., 0J

Hori, Masafumi, 0G, 0R

Horiguchi, Naoto, 18

Horiuchi, Junya, 26

Huang, C. H., 1P

Huang, Shin-Yih, 1G

Hwang, Sunmin, 23

Iseki, Tomohiro, 0G

Jaber, Jad, 1J, 2A

Jasieniak, Marek, 0D

Jefimovs, Konstantins, 0L

Jeong, Seulgi, 24

Jiang, Jing, 0A

Johansson, Fredrik, 06

Johnson, Lawrence, 2B

Jung, Hyeonil, 16

Jung, Taewoo, 27

Kagias, Matias, 0L

Kamei, Yuya, 0G

Kaminsky, Jake, 2C

Kanakasabapathy, Sivananda, 15

Kaneko, Fumiya, 1J

Kaneyama, Koji, 1X

Karasawa, Ryo, 25

Kawaguchi, Yukio, 1Z

Khusnatdinov, Niyaz, 1A

Kikuchi, Yoshiaki, 18

Kim, Hyeongsoo, 27

Kim, Jaeyeol, 27

Kim, Jinhyung, 24

Kim, Seomin, 27

Kim, Seunghyun, 16

Kim, Sung Hwan, 16

Kim, Taeho, 23

Kim, Yoona, 24

Kim, Youngmin, 23

Kim, Yunjun, 16

Kishioka, Takahiro, 17

Klostermann, Ulrich, 0M

Ko, Akiteru, 03

Kobayashi, Shinji, 1B

Kocsis, Michael, 0I

Kohyama, Tetsu, 1J

Koike, Kyohei, 1N

Kondo, Yoshihiro, 0G

Kosaka, Terumasa, 1Z

Kozawa, Masami, 1Y

Kozawa, Takahiro, 13

Kubicek, Stefan, 18

Küchler, Bernd, 0G, 0M

Kumar, Kaushik, 0I

Kunnen, Eddy, 18

Kwak, Doyoung, 27

LaBrake, Dwayne, 1A

Lai, Jun-Cheng, 0N

Lai, Kafai, 03, 0Q

Lan, Wei-Jen, 1G

Latypov, Azat, 0B, 10

Lazzarino, Frédéric, 0I, 0K

Lee, Chungheon, 16

Lee, Daeyoup, 1L

Lee, Hsing-Chieh, 1G

Lee, Hyunsoo, 23

Lee, Richard, 1L

Lee, Sungkoo, 27

LeFevre, Scott, 03

Levinson, Harry J., 0B

Lim, Jaebum, 16

Lim, Sanghak, 16, 23, 24

Lin, Arthur, 0N

Lin, Chia-Chi, 0N

Lindblad, Andreas, 06

Lionti, Krystelle, 07

Liu, Chi-Chun, 03, 0Q

Liu, Jimmy, 0Z

Liu, Xiaomeng, 06

Lobb, Granger, 1D

Lorusso, Gian Francesco, 0K

Lu, Ying-Hao, 1G

Luong, Vinh, 0I

Ly, Saksatha, 1J

Magbitang, Teddie, 07

Makinoshima, Takashi, 26

Maldonado, Joel, 1H

Mannaert, Geert, 18

Mao, Ming, 0I

Marumo, Kazuhiro, 0E

Matham, Shravan, 15

Matsuki, Ryota, 1Z

Matsumoto, Yoko, 1l, 1K

Meli, Luciana, 03, 0Q, 15

Mendoza, Brock, 15

Mertens, Hans, 18

Mesawich, Michael, 2B

Metz, Andrew, 03, 0Q

Meyers, S., 04

Mignot, Yann, 03, 0Q

Milligan, Brennan, 1A

Minekawa, Yukie, 0G

Mizuochi, Ryuta, 1Y

Morgenfeld, Bradley, 1D

Morita, Kazuyo, 0Y

Mülders, Thomas, 0M

Murakami, Tetsuya, 29

Murphy, Michael, 05, 2C

Nafus, Kathleen, 0G, 1B

Nagahara, Seiji, 0G

Nagai, Tomoki, 0G

Nakagawa, Hisashi, 0G

Nakajima, Shinya, 1l

Nakashima, Hideo, 0G

Napolitano, Lee, 05

Narasimhan, Amrit, 05, 2C

Naruoka, Takehiko, 0G

Natori, Sakurako, 1N

Nicolet, Célia, 0W

Nihashi, Wataru, 0E

Nishimaki, Hirokazu, 25

Ogaki, Ryosuke, 1Z

Ogata, Hiroto, 17

Ohashi, Tomoya, 17

Okada, Kana, 26

Okada, Soichiro, 1B

Oshima, Akihiro, 0G

Ottosson, Niklas, 06

Oyama, Kenichi, 1N

Pain, Laurent, 0W

Pal, Shyam, 1D

Paolillo, Sara, 0K

Park, Jeonqsu, 27

Park, Jihoon, 27

Park, Youjung, 24

Park, Yushin, 16

Parnell, Doni, 03, 0Q

Peter, Anthony, 0K

Petrillo, K. E., 0J

Pieczulewski, Chalres, 1X

Piumi, Daniele, 0I, 0K

Price, B., 0J

Resnick, Douglas J., 1A

Robinson, Alex P. G., 13

Romano, Lucia, 0L

Rothschild, Mordechai, 1H

Rutigliani, Vito, 0K

Saccomanno, M. R., 0J

Saito, Daigo, 25

Sakaida, Yasushi, 17

Sakamoto, Rikimaru, 1Y, 25

Sakavuyi, Kaumba, 0W

Sanchez, Martha, 03, 0Q

Sanders, Daniel, 03, 0Q

Sato, Takashi, 26

Schmidt, Kristin, 03, 0Q

Sebaai, Farid, 18

Sekiguchi, Atsushi, 1I, 1K

Sensu, Yoshihisa, 1K

Seong, TaeGeun, 0K

Servin, Isabelle, 0W, 1X

Seshadri, Indira, 03, 07, 15

Shapiro, Dmitri, 1H

Shimada, Ryo, 0G

Shimizu, Tetsuo, 1Z

Shimizu, Yoko, 26

Shimura, Satoru, 1B Shin,

Seungwook, 16

Shiozawa, Takahiro, 0G

Shiraishi, Gosuke, 0G

Shirakawa, Michihiro, 0E

Siauw, Meiliana, 0D

Sieg, Stuart, 03

Singh, Lovejeet, 03, 0Q

Smith, Melissa A., 1H

Solak, Harun, 0L

Somervell, Mark, 03

Someya, Yasunobu, 1Y

Stampanoni, Marco, 0L

Stock, Hans-Jürgen, 0G, 0M

Stokes, Harold, 0W, 1X

Sugino, Naoto, 1I

Sulehria, Yasir, 15

Sunder, Madhana, 15

Sweat, Daniel, 0U Tadokoro,

Shinsuke, 1Y Tagawa,

Seiichi, 0G

Takahashi, Hidenori, 0E

Takei, Satoshi, 1l, 1K

Takigawa, Tomoaki, 26

Tamura, Mamoru, 17

Tanaka, Hatsuyuki, 1K

Tanaka, Yuji, 1X

Tango, Naohiro, 0E

Tao, Zheng, 18

Tatti, Phillip, 1L

Thackeray, Jim, 0D

Tiron, Raluca, 0W, 1X

Tobing, Landobasa Y. M., 1Q

Tokunaga, Hikaru, 25

Tomono, Masaru, 0G

Trefonas, Peter, 0D

Truong, Hao, 03, 15

Tsai, Hsinyu, 03

Tsubaki, Hideaki, 0E

Tsuzuki, Shuichi, 29

Tu, C. J., 1P

Uchiyama, Naoya, 26

Umeda, Toru, 29

Usui, Yuki, 17

Vaglio Pret, Alessandro, 09

Valade, David, 0D

Van Elshocht, Sven, 0K

Vandenberghe, Geert, 0A, 0G

Vandenbroeck, Nadia, 18

Vandereyken, Jelle, 2A

Varanasi, Rao, 2B

Vila-Comamala, Joan, 0L

Vitale, Steven A., 1H

Vockenhuber, Michaela, 0G

Voelcker, Nico, 0D

Wakayama, Hiroyuki, 1Y

Wang, Li, 0L

Wang, Zhentian, 0L

Watts, Benjamin, 1F

Whittaker, Andrew, 0D

Wu, Aiwen, 2A

Wu, Heng, 15

Wu, Yizhi, 0K

Xu, Kui, 0U, 0W

Xu, Yongan, 0Q

Yaegashi, Hidetami, 1N

Yamada, Yoshiaki, 1J

Yamamoto, Hiroki, 13

Yamamoto, Kei, 0E

Yamamoto, Kimiko, 0Y

Yamashita, Fumiko, 0I

Yamato, Masatoshi, 1N

Yamauchi, Shouhei, 1N

Yang, Elvis, 1P

Yang, T. H., 1P

Yao, Yiping, 15

Ye, Zhengmao, 1A

Yoon, Byeri, 24

Yoshihara, Kosuke, 0G

Yu, Jeong Yun, 16

Yun, Huichan, 24

Zhang, Dao Hua, 1Q

Zhang, Yu, 06

Conference Committee

Symposium Chairs

  • Bruce W. Smith, Rochester Institute of Technology (United States)

  • Will Conley, Cymer, LLC (United States)

Conference Chair

  • Christoph K. Hohle, Fraunhofer Institute for Photonic Microsystems (Germany)

Conference Co-chair

  • Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

Conference Program Committee

  • Robert Allen, IBM Almaden Research Center (United States)

  • Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

  • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • Sean D. Burns, IBM Corporation (United States)

  • Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

  • Chao Fang, KLA-Tencor Texas (United States)

  • Douglas Guerrero, Brewer Science, Inc. (United States)

  • Clifford L. Henderson, Georgia Institute of Technology (United States)

  • Scott W. Jessen, Texas Instruments Inc. (United States)

  • Yoshio Kawai, Shin-Etsu Chemical Company, Ltd. (Japan)

  • Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

  • Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

  • Steve S. Putna, Intel Corporation (United States)

  • Daniel P. Sanders, IBM Almaden Research Center (United States)

  • Mark H. Somervell, Tokyo Electron America, Inc. (United States)

  • Jason K. Stowers, Inpria (United States)

  • James W. Thackeray, Dow Electronic Materials (United States)

  • Raluca Tiron, CEA-LETI (France)

  • Rick Uchida, Tokyo Ohka Kogyo America, Inc. (United States)

  • Thomas I. Wallow, ASML Brion Technologies (United States)

Session Chairs

  • 1 Keynote Session

    Christoph K. Hohle, Fraunhofer-Institut für Photonische Mikrosysteme (Germany)

    Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

  • 2 EUV Materials I: Metal-Based EUV Resists: Joint Session with Conferences 10146 and 10143

    Thomas I. Wallow, ASML Brion (United States)

    Robert L. Brainard, SUNY CNSE/SUNYIT (United States)

  • 3 EUV Materials II: Fundamentals I: Joint Session with Conferences 10146 and 10143

    Clifford L. Henderson, Georgia Institute of Technology (United States)

    Anna Lio, Intel Corporation (United States)

  • 4 Tutorial Session: Joint Session with Conferences 10143 and 10146

    Eric M. Panning, Intel Corporation (United States)

    Kenneth A. Goldberg, Lawrence Berkeley National Laboratory (United States)

  • 5 EUV Materials III: Fundamentals II

    Chao Fang, KLA-Tencor Texas (United States)

    Jim W. Thackeray, Dow Electronic Materials (United States)

  • 6 EUV Materials IV: Novel Materials and Processes

    Jason K. Stowers, Inpria Corporation (United States)

    Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • 7 Patterning Materials and Etch: Joint Session with Conferences 10146 and 10149

    Qinghuang Lin, IBM Thomas J. Watson Research Center (United States)

    Sebastian U. Engelmann, IBM Thomas J. Watson Research Center (United States)

  • 8 3D Resist Effects and Modeling: Joint Session with Conferences 10146 and 10147

    Carlos Fonseca, Tokyo Electron America, Inc. (United States)

    Rick Uchida, Tokyo Ohka Kogyo America, Inc. (United States)

  • 9 DSA Process and Integration: Joint Session with Conferences 10146 and 10144

    Ralph R. Dammel, EMD Performance Materials Corporation (United States)

    Chi-Chun Liu, IBM Corporation (United States)

  • 10 DSA Materials and Processes: Joint Session with Conferences 10146 and 10144

    Daniel P. Sanders, IBM Research - Almaden (United States)

    Benjamen M. Rathsack, Tokyo Electron America, Inc. (United States)

  • 11 DSA Novel Materials

    Mark H. Somervell, Tokyo Electron America, Inc. (United States)

    Steve S. Putna, Intel Corporation (United States)

  • 12 DSA Modelling and Fundamentals

    Raluca Tiron, CEA-LETI (France)

    Douglas J. Guerrero, Brewer Science, Inc. (Belgium)

  • 13 Hardmasks and Underlayer Materials

    Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

    Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

  • 14 Process Integration and Multipatterning

    Scott W. Jessen, Texas Instruments Inc. (United States)

    Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10146", Proc. SPIE 10146, Advances in Patterning Materials and Processes XXXIV, 1014601 (19 April 2017); https://doi.org/10.1117/12.2279325
Lens.org Logo
CITATIONS
Cited by 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photoresist processing

Extreme ultraviolet lithography

Directed self assembly

Electron beam lithography

Optical lithography

Stochastic processes

Materials processing

Back to Top