Open Access Paper
28 April 2017 Front Matter: Volume 10145
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10145 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Metrology, Inspection, and Process Control for Microlithography XXXI, edited by Martha I. Sanchez, Vladimir A. Ukraintsev, Proceedings of SPIE Vol. 10145 (SPIE, Bellingham, WA, 2017) Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510607415

ISBN: 9781510607422 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2017, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/17/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00097_psisdg10145_1014501_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a seven-digit CID article numbering system in which:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript. The complete citation is used on the first page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Hideaki, 0J

Adam, Omer, 0A

Adams, Daniel A., 0L

Adel, Michael E., 09, 24

Aharon, Sharon, 09

Ahmad Ibrahim, Muhamad Asraf Bin, 2Q

Ahmad Noorhani, Ahmad Nurul Ihsan Bin, 2Q

Anberg, Doug, 2L

Anis, Fatima, 0O

Anunciado, R., 2E

Appeltans, Raf, 12

Asakawa, Yuji, 2D

Asano, Masafumi, 0J, 1X

Babin, Sergey, 18, 1I

Baderot, Julien, 0I

Bae, Sung Yong, 2G

Barnes, Bryan M., 16, 1E

Baudemprez, Bart, 09

Belletti, Filippo, 1B

Bellmann, Enrico, 0Q

Bello, A. F., 0G

Bernard, Guilhem, 0I

Besacier, Maxime, 14

Bevis, Charles S., 0L

Bhattacharyya, Kaustuve, 0A, 0V

Bizen, Daisuke, 1K

Blancquaert, Yoann, 1F

Boerboom, Patrique, 2I

Bömmels, Jürgen, 12

Bonam, Ravi, 11

Borisov, Sergey, 1I

Bouche, Eric, 0P

Bouet, N., 18

Bouyssou, Régis, 0C, 2B

Breton, Mary, 11

Briggs, Basoene, 12

Brinster, Irina, 0D

Brown, Justin, 27

Brunner, Timothy A., 0Z

Buengener, Ralf, 0R

Buhl, Stefan, 0E, 0Q, 0U, 2M

Bunday, Benjamin D., 0G, 0R, 1R

Cabrini, S., 18

Calafiore, G., 18

Camp, Janay, 1D, 2R

Cantone, Jason, 0R

Cepler, Aron J., 1C, 1U

Chang, C.-H., 25

Chang, Ken, 0A

Chao, Robin, 1U

Charley, Anne-Laure, 12

Chen, Charlie, 25

Chen, K. C., 1Z, 2J

Chen, Kai-Hsiung, 0A, 28

Chen, Ming-Jui, 25

Chen, Owen, 08

Chen, Sheng-Yung, 19

Chen, Xuemei, 0Z

Chen, Yen-Jen, 2K

Chen, Zhijin, 2W

Cheng, Guojie, 1O

Cheng, Kevin, 0A

Cheng, Marjorie, 06

Chien, Sheng-Wei, 19

Choi, DongSub, 0D, 0O, 24

Choi, Eunhyuk, 0J

Choo, Jae, 0K

Chu, Seungyong, 17

Chuang, S. M., 28

Chuang, T. K., 28

Clark, William, 29

Collaert, Nadine, 12

Conley, R., 18

Couraudon, Vincent, 0A

Cramer, Hugo, 1B

Cross, Andrew, 1Y

Crotti, Davide, 12

Cumurcu Gysen, Aysegul, 28

Dai, Xintuo, 0G, 0R, 2D

d’Alfonso, Maxime, 28

de Graaf, Frank, 2I

Dekkers, Harold, 2L

de la Fuente, Isabel, 1B

de la Peña, Abraham A., 1U

Delhougne, Romain, 12

den Boef, Arie, 0A

Depre, Jerome, 2B

Depre, L., 0X

de Ruiter, Chris, 0V, 2G

Dervillé, Alexandre, 0I

De Simone, Danilo, 12

Dettoni, Florent, 0C, 2B

Devender, Devender, 0K

Dezauzier, Christophe, 0C, 2B

Di, Ming, 1D

Dillen, Harm, 15

Di Piazza, Luca, 12

Dixit, Dhairya, 1H

Do, Changhwan, 0M

Donadio, Gabriele Luca, 0H, 12

Dong, Lisong, 2C

Donini, Andrea, 2G

Ducoté, Julien, 14

Duggan, Mark, 0K

Ebersbach, Peter, 1G

Ebert, Martin, 28

Eibagi, Nasim, 0Y

Ekinci, Yasin, 0N

Elia, Alexander, 1H

Emans, Susan, 1U

Fang, Chao, 2C

Fang, Fang, 2R

Fantini, Andrea, 12

Fanton, Pierre, 0X, 14

Farys, V., 13

Felix, Nelson, 0B

Feng, Yaobin, 2C

Fenouillet-Beranger, C., 13

Figueiro, Nivea, 1F

Fischer, Daniel, 0U

Foucher, Johann, 0I

Fouquet, Christophe, 0A

Fox, Robert, 0K

Franke, Joern-Holger, 29

Fronheiser, Jody, 1H

Fu, Chien-Chung, 2O

Fuchs, Andreas, 0A

Furnémont, Arnaud, 12

Gallagher, Matt, 29

Galloway, Benjamin R., 0L

Garbowski, T., 1S

Gardner, Dennis F., 0L

Garreis, R., 1S

Gaudiello, John, 0B, 1U

Gedalia, Oram, 06, 1X

Gemmink, Jan-Willem, 14

Ger, Avon, 1U

Gerrity, Michael, 0L

Gevorkyan, G., 18

Gin, Peter, 1U

Golotsvan, Anna, 24

Gomez, Juan-Manuel, 2K

Goodwin, Francis, 2D

Goossens, Ronald, 0V

Gottipati, Abhishek, 2G

Gronheid, Roel, 09

Grönqvist, Hanna, 0I

Grzela, Grzegorz, 0A, 2G

Guillorn, Michael A., 1U

Gurevich, Evgeni, 09

Gutjahr, Karsten, 2G

Gutsch, Manuela, 0U

Habets, Boris, 0E, 0Q, 0U, 2M

Halder, Sandip, 15, 1J, 1S, 1Y, 29

Ham, Boo-Hyun, 1P

Hamieh, Bassem, 0B

Han, Sangjun, 0D, 0O, 0Q, 24, 2M

Han, Woojun, 17

Hanna, Michael, 05

Hanson, Justin K., 2K

Hao, Xueli, 2K

Hartig, Carsten, 0U, 1G

Hasan, T., 0X, 2E

Hasumi, Kazuhisa, 0H, 12, 1J

Haupt, R., 0C

Helfenstein, Patrick, 0N

Henn, Mark-Alexander, 16, 1E

Heo, Hoyoung, 0D, 0O

Heraud, Stephane, 1F

Herrera, Pedro, 2R

Hirano, Takashi, 0J

Hofmann, Detlef, 0E

Högele, W., 1S

Holt, Jonathan, 0K

Hong, Chit-Sung, 19

Horiguchi, Naoto, 12

Hsiang, Chingyun, 1O

Hsieh, Hung-Chih, 28

Hsu, Po Ya, 2G

Hsu, Sharon, 1B

Hu, Dawei, 1D

Hu, Vincent, 1P

Hua, C. F., 0P

Huang, Albert, 0P

Huang, Chi-hao, 1Z, 2J

Huang, Guo-Tsai, 0A, 28

Huang, Huai, 11

Huang, Jacky, 28

Huang, Kevin, 0O

Huang, Wade, 1B

Huijgen, Ralph T., 08, 26

Hung, Yung-Tai, 1Z

Hunsche, Stefan, 0X, 14

Hurat, Philippe, 2Q

Hwang, Kyung-Bae, 1P

Ikeda, Uki, 1L

Ikota, Masami, 0H, 12

Ilgayev, Ovadia, 1C

Inoue, Osamu, 0H, 12, 1J

Isbester, Paul K., 06, 1C

Ishimoto, Toru, 12

Issacharoff, Limor, 1X

Itzkovich, Tal, 0C, 24

Izikson, Pavel, 28, 2G

Jaganatharaja, Ram, 1B

Jak, Martin, 0A, 2G

Jehoul, Christiane, 1J

Jeon, Chan Uk, 0M

Jeon, Sanghuck, 0O

Jiang, Aiqin, 2G

Jochemsen, Marinus, 1P

Jones, Christopher, 1P

Jun, Chungsam, 0T

Juncker, Aurelie, 29

Jung, Mirim, 24

Jung, Woosung, 17

Jung, Wooyung, 0J

Kagalwala, Taher, 1C, 1D, 1H, 2D, 2R

Kamal Baharin, Ezni Aznida Binti, 2Q

Kamalizadeh, Ferhad, 2K

Kameda, Toshimasa, 1I

Kanakasabapathy, Sivananda, 1U

Kandel, Daniel, 1U

Kang, Byeong Cheol Charles, 06, 1C

Kapteyn, Henry C., 0L

Kar, Gouri Sankar, 0H, 12

Karl, Robert, Jr., 0L

Kasai, Yuji, 1L

Katz, Yinon, 1C

Kawano, Hajime, 1K, 1L

Ke, Chih-Ming, 0A, 28

Keller, Nick, 1H

Kiers, Ton, 14, 15

Kievit, Olaf, 2I

Kim, Heebom, 0M

Kim, Heongsoo, 0Q

Kim, Hyung Woo, 0K

Kim, Il-Hwan, 1P

Kim, Jaisoon, 17

Kim, Jungyoup, 0M

Kim, Sang-Jin, 1P

Kim, Seop, 0Q, 2M

Kim, Seungyoung, 2M

Kim, Sungtae, 0P

Kim, Wan-Soo, 0E, 2M

Kim, Yong Ho, 28

Kim, Yongho, 0J

Kim, Young Ki, 2K

Kim, Youngsik, 24

Kishimoto, Takanori, 1L

Klein, Dana, 09

Ko, Mihael, 1C

Koay, Chiew-seng, 0B

Kohyama, Tsunehito, 2D

Komori, Motofumi, 0J

Korb, T., 1S

Koret, Roy, 06, 1F, 1U

Koshihara, Shunsuke, 12

Koster, Norbert, 2I

Kubis, Michael, 05

Kwon, Ohhyung, 17

Kye, Jongwook, 2D

La Greca, R., 0X

Labbaye, Thibault, 1F

Labrosse, Aurélien, 0I

Lai, Ya-Chieh, 2Q

Laidler, David, 2L

Lakcher, Amine, 0X, 14

Lambregts, Cees, 0V

Lane, Barton, 0Y

Lee, Chao-Te, 19

Lee, Chien-Lin, 19

Lee, Dohwa, 24

Lee, Donggun, 0M

Lee, DongYoung, 0D

Lee, Honggoo, 0D, 0O, 0Q, 24, 2M

Lee, Hyun, 0T

Lee, Joonseuk, 24

Lee, Julie, 2W

Lee, Jung-Hwan, 0M

Lee, Jungtae, 09

Lee, Myungjun, 24

Lee, Sean, 0P

Lee, Wei Ti, 1U

Le-Gratiet, Bertrand, 0X, 14

le Maire, M., 1S

Lenahan, Michael, 1D

Leray, Philippe, 09, 12, 1J, 1S, 1Y

Leviant, Tom, 24

Levinski, Vladimir, 24

Li, Jia-Han, 19

Li, Juntao, 1U

Liang, Frida, 0P

Liao, Sax, 0A

Lifshitz, Yevgeny, 1H

Likhachev, Dmitriy, 1G

Lin, Chia Ching, 25

Lin, Te Hsun, 2O

Lio, En Chuan, 25

Liu, Chi-Chun, 11

Liu, Chun-Hung, 19

Liu, Haibo, 06

Liu, Lei, 1P

Liu, Yu-Lin, 1Z, 2J

Liu, Zephyr, 24

Lobb, Granger, 2D

Loktev, M., 2S

Lorusso, Gian Francesco, 0H, 12, 1J

Loubet, Nicolas, 1U

Luo, Shing-Ann, 1Z

Luo, Yao, 10

Luoh, Tuung, 1Z

Maas, Diederik, 2I

Mack, Chris A., 09, 0Y, 0Z, 1R

Mahendrakar, Sridhar, 1C, 1D

Mai, Hsuan-Ying, 2O

Malakhovsky, Ilja, 2L

Manassen, Amnon, 24

Mancini, Giulia F., 0L

Mandoy, Ram, 08

Mani, Antonio, 09

Martinez, Sergio, 0I

Maslow, Mark, 05

Matney, Kevin, 1U

Matsuki, Kazuto, 0J

Matsunobu, Masazumi, 0V

McBurney, Michael S., 08, 26

McGarvey, Steve, 23

McNamara, Elliott, 1B

Megged, Efi, 24

Mehta, Sohan, 0K

Melzer, Robert, 0U

Meyer, Steffen, 2B

Michel, Ralf, 1F

Mikami, Shinji, 0J

Mileham, Jeffrey, 0P, 2L

Miley, Galen P., 0L

Mizuhara, Yuzuru, 1L

Mochi, Iacopo, 0N

Mohacsi, Istvan, 0N

Mokaberi, Babak, 08

Momonoi, Yoshinori, 1K

Mont, Frank, 2D

Morgan, Stephen, 2G

Mozzanica, Aldo, 0N

Muhsain, Mohamad Fahmi Bin, 2Q

Muilwijk, Pim, 2I

Mulkens, Jan, 05

Munechika, K., 18

Murdoch, Gayle, 29

Murnane, Margaret M., 0L

Muthinti, Gangadhara Raja, 11, 1U

Na, Jihoon, 0M

Nachtwein, Angelique, 1P

Naipak, Victoria, 24

Neumann, J. T., 1S

Ng-Emans, Susan, 06

Ni, Wei-Feng, 28

Nooitgedagt, Tjitte, 28

Noot, Marc, 0A

Noyes, Ben F., III, 08, 26

Nozawa, Hiroto, 2D

Oh, Seungchul, 17

Ohashi, Takeyoshi, 0H, 12

Ohya, Kaoru, 1V

Okagawa, Yutaka, 12, 1J

O’Mahony, Mark, 2G

Osherov, Ilya, 1C, 1X

Ottens, Jeroen, 28

Owen, David M., 2L

Pain, Laurent, 1F

Pal, Shyam, 2D

Pandev, Stilian, 2R

Parisi, Paolo, 1Y

Park, DeNeil, 2G

Park, Dong-Woon, 1P

Park, Joon-Soo, 1P

Park, Junbeom, 0O

Park, Kevin, 26

Park, Ki-Yeop, 1P

Park, Sean, 1P

Park, Sung-Sik, 1P

Paskover, Yuri, 24

Pate, Alex, 08, 26

Patlolla, Raghuveer, 11

Patterson, Oliver, 0R

Popadic, Milos, 1B

Porter, Christina L., 0L

Prentice, Christopher, 0X, 14, 2B, 2E

Rabe, Frank, 0E

Rajendran, Rajeev, 0N

Rao, Shiuan-An, 28

Rao, Siddharth, 0H, 12

Rasafar, Allen, 0R

Raymaekers, Tom, 12

Recchia, Fiona, 1H

Redford, Sophie, 0N

Reidy, Sean, 0K

Ren, Zhou, 1D

Rey, Stephane, 1F

Rincon Delgadillo, Paulina, 12

Robinson, John C., 0D, 0O

Rößiger, Martin, 0Q, 0U

Ruhm, Matthias, 0U

Rullan, Jonathan, 0K

Ryu, Chang-Hoon, 1P

Sadat Gousheh, Reza, 26

Sah, Kaushik, 1Y

Sakakibara, Makoto, 1K

Sanchez, Francisco, 1F

Saulnier, Nicole, 11

Savari, Serap A., 10

Schmitt, Bernd, 0N

Schmitt-Weaver, Emil, 0V

Schneider, L., 13

Schulz, Bernd, 0U

Segers, B., 2E

Sendelbach, Matthew J., 06, 1C, 1F, 1U, 1X

Seo, Hwan-Seok, 0M

Serret, E., 13

Seshadri, Indira, 11

Shanblatt, Elisabeth R., 0L

Shao, Chuanyu, 1J

Shao, Y., 2S

Shapoval, T., 0C

Shearer, Jeffrey, 11

Shen, Xumin, 0K

Shew, Bor-Yuan, 19

Shin, Jae-Min, 1P

Shin, Jangho, 1P

Sieg, Stuart, 11

Silver, Richard M., 16

Sim, Hong-seok, 0M

Simiz, J.-G., 0X

Singh, Sunil, 0K

Slachter, Bram, 05

Smith, Mark D., 09, 0O, 24

Soco, Aileen, 28

Sohn, D. K., 0K

Sohn, Martin Y., 16

Sohn, Younghoon, 0T

Solecky, Eric, 0G, 0R

Somasundaram, Ravin, 0V

Son, Bo-Kyeong, 1P

Song, ChangRock, 0D, 0O

Song, Da, 1D

Souriau, Laurent, 12

Spence, Chris, 05

Stamper, Andrew, 0R

Stokes, Nicholas, 2G

Su, Eason, 0A

Su, Xiaojing, 2C

Subramony, Venky, 0V

Sun, Lei, 0Z, 2D

Sutani, Takumichi, 12

Suzuki, Makoto, 1I, 1K, 1L

Suzuki, Naomasa, 1L

Sweis, Jason, 2Q

Tachibana, Ichiro, 1L

Takada, Satoshi, 1I

Takeda, Kuniaki, 2D

Tallon, Milo, 27

Tamam, Lilach, 1C

Tan, Chi Lim, 12

Tang, Teck Jung, 0K

Tanksalvala, Michael, 0L

Tel, Wim T., 05, 0V, 2E

Terng, L. G., 0A

Thomas, Joel, 0V

Thul, Klaus, 0V

Tian, Ye, 2K

Tian, Zhengguo, 2C

Tien, Ming-Chun, 1P

Timoney, Padraig, 06

Timoshkov, Vadim, 05

Todi, Vinit, 1H

Tottewitz, Steven, 0Q

Tsai, Damon, 0P

Tsai, Kuen-Yu, 19

Tu, Ward, 1B

Tzeng, Wilson, 0A

Ullah, Zakir, 0V

Urbanowicz, Adam M., 1G

Vaid, Alok, 06, 0G, 0R, 1C, 1D, 1H, 2R

Van den Bosch, Geert, 12

Vandenheuvel, Dieter, 09

van der Heijden, Marco J. A., 26

van der Laan, Hans, 2G

van Dijk, Leon, 2L

Van Elshocht, Sven, 2L

van Haren, Richard, 2L

van Laarhoven, Rik, 1B

van Loon, Francois, 26

van Putten, Michel, 2I

van Roey, Frieda, 15

Veloso, Anabela, 12

Venkatachalam, Panneerselvam, 2W

Venkataraman, Kartik, 1D

Ventzek, Peter, 0Y

Visser, Bart, 2G

Vladár, A., 18

Vukkadala, Pradeep, 0O

Vuong, Tam, 2G

Wakamoto, Koichi, 1X

Wallow, Thomas I., 15

Wang, Cathy, 0A

Wang, Weihung, 2J

Wang, Wenhui, 2D

Wang, Y. C., 0A

Warrick, Scott, 0S

Wei, Ming Sheng, 0P

Wei, Yayi, 2C

Weintraub, Jeffrey, 0S

Weng, Tang Chun, 25

Weng, Weihao, 0R

Wilson, Christopher J., 12

Wolfe, Scott, 23

Wolfling, Shay, 1F, 1U

Wong, P., 2E

Woo, Jaesun, 0D, 0O, 2M

Wormington, Matthew, 1U

Wu, Jon, 28

Wu, Kechih, 1O

Wu, Kevin, 0R

Xie, Qian, 2W

Yamaguchi, Atsuko, 0H, 12

Yamashita, Tenko, 1U

Yan, Philip, 1P

Yang, Elvis, 1Z, 2J

Yang, Mars, 1Z, 2J

Yang, Sunseok, 17

Yang, T. H., 1Z, 2J

Yang, Yin-Kuang, 2O

Yang, Yusin, 0T

Yashchuk, V. V., 18

Yasin, Farrukh, 0H, 12

Yathapu, Nithin, 27

Ye, Tianchun, 2C

Yellai, Naren, 06, 1C

Yeo, Sun-Young, 1P

Yokosuka, Toshiyuki, 1I

Yoon, Nam Hee, 1D

Yoshikawa, Ryoji, 0J

Yoshitake, Shushuke, 0N

Yu, Chia Hsiang, 25

Yu, Chun-Chi, 25

Yueh, Jenny, 28

Zach, Franz, 2R

Zafar, Khurram, 2W

Zeidler, D., 1S

Zhang, Libin, 2C

Zhang, Linmiao, 0V

Zhang, Xiaoshi, 0L

Zhang, Y., 2E

Zhou, Daisy, 12

Zhou, Hui, 16, 1E

Zhou, Steve, 26

Zhou, Yue, 2G

Zimmermann, Yann, 0I

Conference Committee

Symposium Chair

  • Bruce W. Smith, Rochester Institute of Technology (United States)

Symposium Co-chair

  • Will Conley, Cymer, An ASML company (United States)

Conference Chair

  • Martha I. Sanchez, IBM Research - Almaden (United States)

Conference Co-chair

  • Vladimir A. Ukraintsev, Qorvo, Inc. (United States)

Conference Program Committee

  • Ofer Adan, Applied Materials, Ltd. (Israel)

  • John A. Allgair, International Consortium for Advanced Manufacturing Research (ICAMR) (United States)

  • Masafumi Asano, Toshiba Corporation (Japan)

  • Benjamin D. Bunday, CNSE/SEMATECH Inc. (United States)

  • Jason P. Cain, Advanced Micro Devices, Inc. (United States)

  • Hugo Cramer, ASML Netherlands B.V. (Netherlands)

  • Timothy F. Crimmins, Intel Corporation (United States)

  • Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

  • Chih-Ming Ke, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

  • Yi-Sha Ku, Industrial Technology Research Institute (Taiwan)

  • Byoung-Ho Lee, SK hynix, Inc. (Korea, Republic of)

  • Narender Rana, Western Digital Corporation (United States)

  • Christopher J. Raymond, Nanometrics Inc. (United States)

  • John C. Robinson, KLA-Tencor Corporation (United States)

  • Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • Richard Silver, National Institute of Standards and Technology (United States)

  • Eric Solecky, GLOBALFOUNDRIES Inc. (United States)

  • Alexander Starikov, I&I Consulting (United States)

  • Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

Session Chairs

  • 1 Keynote Session

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Vladimir A. Ukraintsev, Qorvo, Inc. (United States)

  • 2 Hybrid Metrology

    Masafumi Asano, Toshiba Corporation (Japan)

    Narender Rana, Western Digital Corporation (United States)

  • 3 Overlay

    Alexander Starikov, I&I Consulting (United States)

    Hugo Cramer, ASML Netherlands B.V. (Netherlands)

  • 4 Future

    Richard M. Silver, National Institute of Standards and Technology (United States)

    Masafumi Asano, Toshiba Corporation (Japan)

  • 5 EUV Mask Inspection and Imaging: Joint Session with Conferences 10143 and 10145

    Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

    Ofer Adan, Applied Materials, Ltd. (Israel)

  • 6 Wafer-Shape Induced Overlay

    Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

    Christopher J. Raymond, Nanometrics Inc. (United States)

  • 7 Process Control

    Timothy F. Crimmins, Intel Corporation (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • 8 Line Edge Roughness (LER)

    John A. Allgair, International Consortium for Advanced Manufacturing Research (ICAMR) (United States)

    Benjamin D. Bunday, CNSE/SEMATECH (United States)

  • 9 SEM I

    Benjamin D. Bunday, CNSE/SEMATECH (United States)

    Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

  • 10 Inspection and Reference Metrology

    Narender Rana, Western Digital Corporation (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • 11 Optical Metrology

    John C. Robinson, KLA-Tencor Corporation (United States)

    Christopher J. Raymond, Nanometrics Inc. (United States)

  • 12 3D SEM and 3D Applications

    John A. Allgair, International Consortium for Advanced Manufacturing Research (ICAMR) (United States)

    Masafumi Asano, Toshiba Corporation (Japan)

  • 13 Design Interactions with Metrology: Joint Session with Conferences 10148 and 10145

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

    John C. Robinson, KLA-Tencor Corporation (United States)

  • 14 SEM II

    Timothy F. Crimmins, Intel Corporation (United States)

    Martha I. Sanchez, IBM Research - Almaden (United States)

  • 15 Late Breaking News

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Vladimir A. Ukraintsev, Qorvo, Inc. (United States)

Introduction

The 31st conference on Metrology, Inspection, and Process Control for Microlithography began with two outstanding keynote presentations. Richard M. Silver from National Institute of Standards and Technology began the Keynote Session with “Advancing measurement science at NIST to enable atom-scale technology,” starting with non-destructive techniques to techniques with atomic-scale resolution including 3D CD metrology and tomography. This was followed by Philippe Leray from IMEC with, “Metrology challenges for in-line process control,” describing energy and angular filtered SEM, metrology of HAR (high aspect ratio) structures, use of SEM to improve accuracy of overlay (OVL), including complicated OVL models, tunable wavelength OCD (scatterometry) and OVL to minimize overlay and CD errors and enable nm-scale uncertainty.

Strong student presentations for the Best Student Paper Award this year compelled us to recognize the runner-up paper. The presentations were professional and presented with the confidence of understanding their topics fully. There was an overwhelming number of overlay metrology papers underpinning the importance, improvement in accuracy, and paving the way to nm uncertainty. There has been good progress with SEM modeling and parameters to allow better imaging of high aspect ratio and buried features.

Joint sessions continued to be an excellent way to merge and interact with other conferences. This year we had two joint sessions.

SESSION 5: EUV Mask Inspection and Imaging: Joint Session with Conferences 10143 and 10145. The joint session with the EUV Lithography conference, 10143, chaired by Ofer Adan and Anna Tchikoulaeva, was extremely well attended:

  • “Actinic review of EUV masks: performance data and status of the AIMS EUV system,” Dirk Hellweg, et al., Carl Zeiss SMT GmbH [10143-15]

  • “Application of actinic mask review system for the preparation of HVM EUV lithography with defect free mask,” Ji Hoon Na, et al., SAMSUNG Electronics Co., Ltd.

  • “Printability and actinic AIMS review of programmed mask blank defects,” Erik Verduijn, et al., GLOBALFOUNDRIES Inc. [10143-16]

  • “Towards a stand-alone high-throughput EUV actinic photomask metrology tool: RESCAN,” Rajeev Rajendran, et al., ETH Zürich [10145-22]

SESSION 13: Design Interactions with Metrology: Joint Session with Conferences 10148 and 10145. The second joint session was with the Design-Process-Technology Co-optimization for Manufacturability conference, 10148, chaired by Jason P. Cain and John C. Robinson:

  • “Wafer hot spot identification through advanced photomask characterization techniques: part 2,” Yohan Choi, et al., Photronics, Inc. [10148-20]

  • “Pattern centric design based sensitive patterns and process monitor in manufacturing,” Chingyun Hsiang, et al., Anchor Semiconductor, Inc. [10145-60]

  • “The use of computational inspection to identify process window limiting hotspots and predict sub-15nm defects with high capture rate,” Boo-Hyun Ham, et al., SAMSUNG Electronics Co., Ltd. [10145-61]

  • “Line-edge quality optimization of electron-beam resist for high-throughput character projection exposure utilizing atomic force microscope analysis,” Rimon Ikeno, et al., The Univ. of Tokyo [10148-33]

Following are the winners of the 2016 Diana Nyyssonen Memorial Award for Best Paper and the 2017 Karel Urbánek Best Student Paper Award.

Martha I. Sanchez Vladimir A. Ukraintsev

The Diana Nyyssonen Memorial Award for Best Paper

Diana Nyyssonen was a pioneer in the field of dimensional metrology. Her early interests in optics were formed under the tutelage of Professor Edward L. O’Neill at Boston University. In 1965 she went to work for Brian J. Thompson and George B. Parrent, Jr. at Technical Operations, Inc., Burlington, Massachusetts. Diana’s knowledge of physical optics and related modeling grew rapidly as she worked on the teams developing the theory of partially coherent imaging, physical models, equipment and applications for the US government, astronomy, and industry. Her professional circle at that time included George O. Reynolds, John B. DeVelis, Adriaan Walther, Philip S. Considine, Richard J. Becherer, and Richard E. Swing.

Dr. Diana Nyyssonen; 1980 (Image courtesy Jeffrey Nyyssonen Swing)

00097_psisdg10145_1014501_page_21_1.jpg

In 1969 Diana Nyyssonen joined the Image Optics and Photography Section of the Metrology Division at the National Bureau of Standards (NBS), initially working for Calvin S. McCamy on microdensitometry, then on linewidth measurements for integrated circuit manufacturing applications. Sponsored by NBS, Diana also attended the Institute of Optics, University of Rochester at Rochester, New York where Professor B. J. Thompson, Director of the Institute, was her advisor. She completed her PhD in 1975 with her Thesis “High resolution microdensitometry of photographic emulsions” reflecting the scientific foundations and the outlook of the things to come. Dr. Nyyssonen’s modeling of thin films observed by an optical microscope demonstrated that line (space) width smaller than Rayleigh resolution limit can be measured. She produced linewidth measurements in photomasks with calibration based on physical modeling and first principles, establishing the first critical dimension standards for the microelectronics industry and the foundation of today’s dimensional metrology.

Dr. Nyyssonen left NBS in 1985 to form her own metrology company then joined IBM at East Fishkill, New York in 1988. Continuing the development of accurate optical modeling of imaging of thick films with Christopher P. Kirk, NBS and other teams, she started projects on metrology with scatterometry and interference microscopy, modeled imaging in low voltage CD-SEM, and spearheaded the establishment of CD-AFM.

Diana Nyyssonen’s personal contributions to the field of dimensional metrology, as well as her collaborations and mentorships in the industry, consortia, and academia, accelerated and influenced the development of basic technology and metrology applications with optical microscopy and scatterometry, SEM, and AFM.

Metrology, Inspection, and Process Control for Microlithography is the leading international forum for the discussion and presentation of technical advances in the broader field of semiconductor metrology. The Diana Nyyssonen Memorial Award for the Best Paper at this Conference recognizes the most significant current contributions.

Due to the Conference’s long history, significant attendance and high paper counts, to win this Award requires a very significant new contribution to the field. The selection of the best paper is initiated during the Conference by nomination, followed by extensive review by the Program Committee. It is based on both the technical merit and persuasiveness of the oral presentation and the overall quality of the published paper. Past award winners include leading international researchers in the area of semiconductor metrology and process control whose contributions have fundamentally improved the way semiconductors are manufactured.

We are pleased to honor the winners of the Diana Nyyssonen Memorial Award for the Best Paper of 2016, as well as those who have won in previous years:

2016

Vassilios Constantoudis, Vijaya-Kumar Murugesan Kuppuswamy, Evangelos Gogolides, Alessandro Vaglio Pret, Hari Pathangi, and Roel Gronheid, “Challenges in LER/CDU metrology in DSA: placement error and cross-line correlations,” Proc. SPIE vol. 9778, 97781X (2016).

2015

Narender Rana, Yunlin Zhang, Donald Wall, Bachir Dirahoui, and Todd C. Bailey, “Machine Learning and Predictive Data Analytics Enabling Metrology and Process Control in IC,” Proc. SPIE vol. 9424, 94241I (2015).

2014

Andras E. Vladár, John S. Villarrubia, Jasmeet Chawla, Bin Ming, Joseph R. Kline, Scott List, Michael T. Postek, “10 nm three-dimensional CD-SEM metrology,"Proc. SPIE vol. 9050, 90500A (2014).

2013

Ozan Ugurlu, Michael Strauss, Gavin Dutrow, Jeff Blackwood, Brian Routh, Corey Senowitz, Paul Plachinda, Roger Alvis, “High-volume process monitoring of FEOL 22nm FinFET structures using an automated STEM,” Proc. SPIE vol. 8681, 868107 (2013).

2012

Narender Rana and Dario Goldfarb, “Bridging CD metrology gaps of advanced patterning with assistance of nanomolding,” Proc. SPIE, Vol. 8324, 83241M (2012).

2011

Shiano Ono, Miyuki Yamane, Mitsuo Ogasawara, Akira Katakami, Jiro Yugami, Masanari Koguchi, Hiroyuki Shinada, Hiroshi Kakibayashi, Kazuto Ikeda, and Yuzuru Ohji, “Highprecision edge-roughness measurement of transistor gates using three-dimensional electron microscopy combined with marker-assisted image alignment,” Proc. SPIE, Vol. 7971, 79710M (2011).

2010

David Laidler, Koen D’havé, Anne-Laure Charley, Philippe Leray, Shaunee Cheng, Mircea Dusa, Peter Vanoppen, and Paul Hinnen, “A single metrology tool solution for complete exposure tool setup,” Proc. SPIE, Vol. 7638, 763809 (2010).

2009

Benjamin Bunday, Aaron Cordes, John Allgair, Vasiliki Tileli, Yohanan Avitan, Ram Peltinov, Maayan Bar-zvi, Ofer Adan, Eric Cottrell, and Sean Hand, “Phenomenology of electron-beam-induced photoresist shrinkage trends,” Proc. SPIE, Vol. 7272, 72721B (2009).

2008

Alok Vaid, Rohit Pal, Matthew Sendelbach, Shahin Zangooie, Kevin Lensing, and Carsten Hartig, “Scatterometry as technology enabler for embedded SiGe process,” Proc. SPIE, Vol. 6922, 69220U (2008).

2007

Matthew Sendelbach, Javier Ayala, and Pedro Herrera, “Predicting electrical measurements by applying scatterometry to complex spacer structures,” Proc. SPIE, Vol. 6518, 651825 (2007).

2006

Masafumi Asano, Takahiro Ikeda, Toru Koike, and Hideaki Abe, “In-line CD metrology with combined use of scatterometry and CD-SEM,” Proc. SPIE, Vol. 6152, 61521V (2006).

2005

John S. Villarrubia and Benjamin D. Bunday, “Unbiased estimation of linewidth roughness,” Proc. SPIE, Vol. 5752, 480 (2005). Xxii

2004

Christopher P. Ausschnitt, “A new approach to pattern metrology,” Proc. SPIE, Vol. 5375, 51 (2004).

2003

John S. Villarrubia, Andras E. Vladar, and Michael T. Postek, “Simulation study of repeatability and bias in the CD-SEM,” Proc. SPIE, Vol. 5038, 138 (2003).

2002

Jon L. Opsal, Hanyou Chu, Youxian Wen, Yia-Chung Chang, and Guangwei Li, “Fundamental solutions for real-time optical CD metrology,” Proc. SPIE, Vol. 4689, 163 (2002).

2001

Sylvain Muckenhirn, A. Meyyappan, Kelvin Walch, Mark J. Maslow, Geert Vandenberghe and Johannes van Wingerden, “SPM characterization of anomalies in phase-shift mask and their effect on wafer features,” Proc. SPIE, Vol. 4344, 188 (2001).

The Karel Urbánek Best Student Paper Award

Karel Urbánek studied electronics and high-vacuum physics at the Charles University in Prague, the valedictorian of his class. To earn his way through college, and to learn about the life in the West, he worked as a tour guide. That is how he met two key people in his life and career: Lida Náprstek, then a student at Radcliffe College leading a group of American school kids, and Sonny (Melville) Marx, an investment banker from San Francisco. After graduation he used this learning and cash to escape the soviet system by flying to a “vacation” in Cuba. He slipped away during a stopover in Halifax, Nova Scotia. His first call was to Lida; the second was to Sonny. He requested asylum. The local American consul arranged for his entry into the United States.

Karel Urbánek (Image courtesy Lida Urbanek)

00097_psisdg10145_1014501_page_25_1.jpg

Karel soon found a job with Lincoln Labs in Cambridge, Massachusetts, then moved to the San Francisco Bay Area. He joined Varian Associates working for Bill Wheeler on vacuum equipment for semiconductor manufacturing.

Recognizing an opportunity in the new field of sputtering for thin film deposition, Karel and fellow Varian employees John Schwabacher, Ed Kerswill, Al Lang, and Barry Hart founded Randex in 1970.

By 1973, with Randex business in rapid growth, its founders agreed to an acquisition by Perkin-Elmer. Karel became the research director for the Ultek division of Perkin-Elmer in Palo Alto, California.

Sputtering projects often led to the need to accurately measure the thickness of the deposited film. Recognizing another opportunity, some of the original Randex team (Urbánek, Schwabacher, Kren, Hart, and Kerswill) formed Tencor in 1976. Bill Wheeler and Jerry Gabe later joined Tencor. Sonny Marx, their venture capitalist in the Randex start up, insisted that they re-invest their gains in this new venture, a move to leverage their past success and to control their new business, ultimately making most of them wealthy.

Tencor’s first product was the Alpha-Step profilometer in 1977. It used a simple but very robust method for measuring displacement. Urbánek even insisted on literally kicking a packing case containing an Alpha-Step across a room, then opening it up to set up and, within minutes, measure film thickness and step height. Simple to use, stable and repeatable to siub-1nm the tool was an instant success. The Tencor team then bet the company on their next big product, Surfscan wafer inspection tool. They nearly bankrupted the company developing reliable detection of small defects and automation. That pioneering technology, as in the Alpha-Step, also quickly became ubiquitous and it is still in use today.

Karel Urbánek served as CEO and Chairman of the Board of Tencor for fifteen years until his death in 1991. He was also active in the SEMI International Standards Program and was honored in 1992 with the creation of the Karel Urbánek Award, the most prestigious honor for participants in the SEMI International Standards Program.

Karel and Lida Urbánek were passionate supporters of education, particularly in science and engineering. This support lives on through the Karel Urbánek Fellowship at Stanford University, the Karel Urbánek Laboratories at the Charles University in Prague, and the Urbánek/Levy Education Fund for the children of KLA-Tencor employees.

The Karel Urbánek Best Student Paper Award sponsored by KLA-Tencor Corp. was created in 2014 to recognize the best paper authored by a current student at the conference. In order to be eligible, the student must be the primary author and must present the work at the conference. It is our great pleasure to recognize this year’s winner along with previous recipients:

2017

Michael Tanksalvala, JILA, University of Colorado at Boulder (United States); Christina L. Porter, Dennis F. Gardner, Michael Gerrity, Giulia F. Mancini, Xiaoshi Zhang, Galen P. Miley, Elisabeth R. Shanblatt, Benjamin R. Galloway, Charles S. Bevis, Robert Karl Jr., Daniel A. Adams, Henry C. Kapteyn, Margaret M. Murnane, “Sub-wavelength transmission and reflection mode tabletop imaging with 13nm illumination via ptychography CDI,” [10145-113]

2016

Maria Laura Gödecke, Univ. Stuttgart (Germany); Sandy Peterhänsel, Karsten Frenner, and Wolfgang Osten, “Measurement of asymmetric side wall angles by coherent scanning Fourier scatterometry,” [9778-16]

2015

Kathleen M. Hoogeboom-Pot, Univ. of Colorado at Boulder (USA); Jorge N. Hernandez-Charpak, Travis Frazer, Xiaokun Gu, Emrah Turgut, Erik H. Anderson, Weilun L. Chao, Justin M. Shaw, Ronggui Yang, Margaret M. Murnane, Henry C. Kapteyn, Damiano Nardi, “Mechanical and thermal properties of nanomaterials at sub-50nm dimensions characterized using coherent EUV beams,” [9424-43]

2014

Thomas Verduin, Technische Univ. Delft (Netherlands); Cornelis W. Hagen, Pieter Kruit, “Determination of line edge roughness in low dose top-down scanning electron microscopy images,” Proceedings of SPIE Vol. 9050, 90500L (2014).

© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10145", Proc. SPIE 10145, Metrology, Inspection, and Process Control for Microlithography XXXI, 1014501 (28 April 2017); https://doi.org/10.1117/12.2279334
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Overlay metrology

Scanning electron microscopy

Inspection

3D image processing

Photomasks

Process control

Back to Top