Paper
13 July 2017 Improved particle control for high volume semiconductor manufacturing for nanoimprint lithography
Masami Yonekawa, Takahiro Nakayama, Kazuki Nakagawa, Toshihiro Maeda, Yoichi Matsuoka, Keiji Emoto, Hisanobu Azuma, Yukio Takabayashi, Ali Aghili, Makoto Mizuno, Jin Choi, Chris E. Jones
Author Affiliations +
Abstract
Nanoimprint Lithography (NIL) has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for high volume semiconductor manufacturing. Included on the list are overlay, throughput and defectivity. Imprint lithography, like any lithographic approach requires that defect mechanisms be identified and eliminated in order to consistently yield a device. NIL has defect mechanisms unique to the technology, and they include liquid phase defects, solid phase defects and particle related defects. Especially more troublesome are hard particles on either the mask or wafer surface. Hard particles run the chance of creating a permanent defect in the mask, which cannot be corrected through a mask cleaning process. If Cost of Ownership (CoO) requirements are to be met, it is critical to minimize particle formation and extend mask life. To meet the CoO requirements, mask life must meet or exceed 1000 wafers. If, we make the conservative assumption that every particles causes damage to the mask pattern, the number of particle adders must be less than 0.001 pieces per wafer pass in the NIL tool. Therefore, aggressive strategies are needed to reduce particles in the tool. In this paper, we will report on the techniques required to meet this condition and will describe how the particle reduction techniques can be extended to our FPA-1200NZ2C system.
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Masami Yonekawa, Takahiro Nakayama, Kazuki Nakagawa, Toshihiro Maeda, Yoichi Matsuoka, Keiji Emoto, Hisanobu Azuma, Yukio Takabayashi, Ali Aghili, Makoto Mizuno, Jin Choi, and Chris E. Jones "Improved particle control for high volume semiconductor manufacturing for nanoimprint lithography", Proc. SPIE 10454, Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology, 104540R (13 July 2017); https://doi.org/10.1117/12.2279365
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Particles

Photomasks

Semiconducting wafers

Curtains

Nanoimprint lithography

Control systems

Polishing

Back to Top