Paper
4 December 2008 An update on the DPL overlay discontinuity
Author Affiliations +
Proceedings Volume 7140, Lithography Asia 2008; 71400K (2008) https://doi.org/10.1117/12.808005
Event: SPIE Lithography Asia - Taiwan, 2008, Taipei, Taiwan
Abstract
It could be argued that the biggest challenge of the 32 nm half pitch node is the production implementation of double patterning lithography. Within the framework of this broad domain, a specific challenge which has been highlighted is overlay control due to the sharing between two exposures the overlay control allocation of a single patterning step. The models used in the literature to support this assertion are reviewed and compared with recent results. An analysis of the implications for overlay metrology performance and cost of ownership is presented and compared with actual capabilities currently available with both imaging and scatterometry sensor technology. Technology matching between imaging and scatterometry emerges as a requirement to enable combined imaging scatterometry overlay control use cases.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Mike Adel "An update on the DPL overlay discontinuity", Proc. SPIE 7140, Lithography Asia 2008, 71400K (4 December 2008); https://doi.org/10.1117/12.808005
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Scatterometry

Metrology

Double patterning technology

Lithography

Contamination

Diamond

Back to Top