Paper
24 March 2009 Diffraction-based overlay metrology for double patterning technologies
Prasad Dasari, Rahul Korlahalli, Jie Li, Nigel Smith, Oleg Kritsun, Cathy Volkman
Author Affiliations +
Abstract
The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Prasad Dasari, Rahul Korlahalli, Jie Li, Nigel Smith, Oleg Kritsun, and Cathy Volkman "Diffraction-based overlay metrology for double patterning technologies", Proc. SPIE 7272, Metrology, Inspection, and Process Control for Microlithography XXIII, 727212 (24 March 2009); https://doi.org/10.1117/12.816590
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Double patterning technology

Optical lithography

Data modeling

Metrology

Scatterometry

Semiconducting wafers

Back to Top