Paper
20 August 2004 Photomask ADI, AEI, and QA measurements using normal incidence optical CD metrology
Ebru Apak, T. P. Sarathy, William A. McGahan, Pablo I. Rovira, Ray J. Hoobler
Author Affiliations +
Abstract
Optical Critical Dimension (OCD) measurements using Normal-Incidence Spectroscopic Polarized Reflectance and Ellipsometry allows for the separation of transverse electric and transverse magnetic modes of light reflected from an anisotropic sample as found in a periodic grating structure. This can provide the means for determining line widths and analyzing complex profiles for a variety of structures found in mask fabrication. The normal-incidence methodology maintains much of the simplicity in mechanical design found in a standard reflectometer and the additional polarizing element has no effect on the footprint making the system amenable for integration, inline monitoring and advanced process control. The Rigorous Coupled Wave Analysis (RCWA) method provides an exact method for calculating the diffraction of electromagnetic waves by periodic grating structures. We have continued development of OCD technology to critical measurement steps in the photomask fabrication process: After Development Inspection (ADI), After Etch Inspection (AEI) for binary and phase shift masks. Additionally, we have demonstrated the ability of monitoring the mask CD quality with the presence of a protective pellicle. The determination of important critical dimensions in photomasks via optical techniques is appealing for several reasons: the method is non-destructive to photoresist and the sample is not subject to charging effects; the technique is capable of measuring the critical dimensions of grating structures down to approximately 40 nm; finally, minimal facilities are required for installation (no high vacuum, cooling or shielding of electromagnetic fields). Results will be presented showing the capabilities of OCD metrology for ADI, AEI and masks monitoring applications that emphasizes how the technology can be incorporated at many steps in the mask manufacturing process.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ebru Apak, T. P. Sarathy, William A. McGahan, Pablo I. Rovira, and Ray J. Hoobler "Photomask ADI, AEI, and QA measurements using normal incidence optical CD metrology", Proc. SPIE 5446, Photomask and Next-Generation Lithography Mask Technology XI, (20 August 2004); https://doi.org/10.1117/12.557809
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Reflectivity

Pellicles

Quartz

Photoresist materials

Data modeling

Critical dimension metrology

Back to Top