Paper
1 July 2002 Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection
Author Affiliations +
Abstract
Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.
© (2002) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sang-In Han, James R. Wasson, Pawitter J. S. Mangat, Jonathan L. Cobb, Kevin Lucas, and Scott Daniel Hector "Novel design of att-PSM structure for extreme-ultraviolet lithography and enhancement of image contrast during inspection", Proc. SPIE 4688, Emerging Lithographic Technologies VI, (1 July 2002); https://doi.org/10.1117/12.472324
Lens.org Logo
CITATIONS
Cited by 12 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Phase shifts

Binary data

Chromium

Photomasks

Reflectivity

Inspection

Extreme ultraviolet

RELATED CONTENT

Cr absorber mask for extreme-ultraviolet lithography
Proceedings of SPIE (January 22 2001)
Integration of antireflection coatings on EUV absorber stacks
Proceedings of SPIE (December 27 2002)
EUV mask fabrication with Cr absorber
Proceedings of SPIE (July 21 2000)
Inspection and repair of EUV
Proceedings of SPIE (March 11 2002)

Back to Top