Paper
5 September 2001 EUVL masks: paving the path for commercialization
Author Affiliations +
Abstract
Optical projection lithography has been the principal vehicle of semiconductor manufacturing for more than 20 years and is marching aggressively to satisfy the needs of semiconductor manufacturers for 100nm devices. However, the complexity of optical lithography continues to increase as wavelength reduction continues to 157nm. Extreme Ultraviolet Lithography (EUVL), with wavelength from 13-14 nm, is evolving as a leading next generation lithography option for semiconductor industry to stay on the path laid by Moore's Law. Masks are a critical part of the success of any technology and are considered to be high risk both for optical lithography and NGL technologies for sub-100nm lithography. Two key areas of EUV mask fabrication are reflective multilayer deposition and absorber patterning. In the case of reflective multilayers, delivering defect free multilayers for mask blanks is the biggest challenge. Defect mitigation is being explored as a possible option to smooth the multilayer defects in addition to optimization of the deposition process to reduce defect density. The mask patterning process needs focus on the defect-free absorber stack patterning process, mask cleaning, inspection and repair. In addition, there is considerable effort to understand by simulations, the defect printability, thermal and mechanical distortions, and non-telecentric illumination, to mention a few. To protect the finished mask from defects added during use, a removable pellicle strategy combined with thermophoretic protection during exposure is being developed. Recent migration to square form factor using low thermal expansion material (LTEM) is advantageous as historical developments in optical masks can be applied to EUV mask patterning. This paper addresses recent developments in the EUV mask patterning and highlights critical manufacturing process controls needed to fabricate defect-free full field masks with CD and image placement specifications for sub-70nm node lithography. No technology can be implemented without establishing the commercial infrastructure. The rising cost seems to be a major issue affecting the technology development. With respect to mask fabrication for commercial availability, a virtual mask shop analysis is presented that indicates that the process cost for EUVL masks are comparable to the high end optical mask with a reasonable yield. However, the cost for setting up a new mask facility is considerably high.
© (2001) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Pawitter J. S. Mangat and Scott Daniel Hector "EUVL masks: paving the path for commercialization", Proc. SPIE 4409, Photomask and Next-Generation Lithography Mask Technology VIII, (5 September 2001); https://doi.org/10.1117/12.438382
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Extreme ultraviolet lithography

Multilayers

Optical lithography

Mask making

Reflectivity

RELATED CONTENT

EUV mask fabrication using Be-based multilayer mirrors
Proceedings of SPIE (July 21 2000)
EUV mask pilot line at Intel Corporation
Proceedings of SPIE (December 06 2004)
EUVL masks: requirements and potential solutions
Proceedings of SPIE (July 01 2002)
Masks for extreme ultraviolet lithography
Proceedings of SPIE (December 18 1998)
Mask technology for EUV lithography
Proceedings of SPIE (April 23 1999)

Back to Top