PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 11612, including the Title Page, Copyright Information, and Table of Contents.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Introduction to SPIE Advanced Lithography conference 11612: Advances in Patterning Materials and Processes XXXVIII.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We discuss here our revolutionary technique to both apply photo resist and develop latent images in photo resist using dry technologies instead of the existing wet spin coating and development that have been the standard over the last several decades. We will review the key advantages of dry resist processing over wet resist processing: stability, photo sensitivity, environmental footprint, and cost. This nascent technology has demonstrated best in class resist performance at leading edge design rules, breaks several long standing tradeoffs in EUV photoresist materials, and opens the door to a new world of innovations in EUV lithography patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As feature sizes continue to shrink, low k1 lithographic processes are required to advance chip technologies. To achieve actual gains in resolution, both the advances in optical systems and imaging capabilities, as well as the improvements in EUV materials and photoresists are key. Researchers today are evaluating the readiness of State-of-the-art materials and processing needed for future applications with 0.33NA exposures at the ASML-imec Advanced Patterning Center, together with studies involving High-NA exposure tools at Lawrence Berkeley National Laboratories and the Paul Scherrer Institute. This talk will give a broad overview of the progress and innovations on high resolution photoresists and patterning processes, and will highlight the key areas of development needed towards high-NA EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Bottom-up patterning approaches are gaining traction as the trade-offs between resolution, throughput, and cost continually run into limitations for advanced semiconductor manufacturing technologies. With these constraints in mind, we have previously explored spin-on selective deposition of polymers over microscale features for ultimate use in ALD technologies. Two methods have previously been explored. The first approach considered a spin-on self-assembled monolayer (SAM) protecting either a metal or dielectric pre-pattern followed by a selective spin-on polymer coating. The second approach customized a synthetic fluorinated polymer tailoring the surface energies to the structures and sizes of interest in order to achieve selective deposition. In this work, pre-patterned copper and dielectric patterns are explored for selective deposition using pitch ranges from 128nm – 1000nm. A combination of spin-on SAMs along with custom synthesized polymers are studied. Atomic force microscopy (AFM) and transmission electron microscopy (TEM) are used to characterize final polymer coatings and the impact of polymer structure, solution concentration, and processing conditions will be discussed. Ultimately, it will be shown that the combination of both spin-on SAMs and custom synthesized polymers successfully results in selective deposition over nanometer scale patterns, increasing previous resolution by two orders of magnitude.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resist Chemistry: Joint Sessions with Conferences 11609 and 11612
As the next generation of Extreame Ultraviolet (EUV) lithography, high-NA EUV is proposed. EUV resist is one of the challenges to achieve it. Non-CAR type hemicellulose resist was proposed last year and improved for high-NA EUV resist. The target is stable and high resolution chain scission resist. It was confirmed that hemicellulose works as a radical source by electron spin resonanse(ESR) analysis and hemicellulose improves EUV sensitivity from 50 to 28 mJ/cm2. The primary advantage of the hemicellulose resist is its excellent wafer transportability. The properties of resist such as low metal contamination, resist film uniformity, and edge cut were confirmed. The optimization of the lithography process improves the resolution of hemicellulose resist and pitch 26 nm L/S pattern was obtained with OPALTM-RH02. Furthermore, hole pattern of pitch 40 nm was also obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
MOR and Infiltration Methods: Joint Sessions with Conferences 11609 and 11612
EUV lithography (EUVL) is expected to offer a single-exposure solution down to 5 nm or below nodes. To successfully implement EUVL for sub-10 nm nodes on time, one major hurdle is the availability of compatible resists with sufficiently low line edge/width roughness (LER/LWR) and low exposure dose. Hence, the requirements of high-resolution patterning along with sub 10 nm feature size necessitates nanocluster size resist materials with high irradiation absorption coefficients, considerably high sensitivity, and permissible LER and LWR. To meet the aforementioned requirements, we formulated a negative tone metal-core (indium and copper) organic clusters resists such as In-MOCs and Cu-MOCs having a nanosize domain. In-MOCs is comprised of indium core as an inorganic metal building unit and methacrylic acid (MAA) as an organic ligand while the Cu-MOCs is comprised of copper metal core and trans 2,3 dimethylacrylic acid (DMA) organic ligand through the versatile sol-gel method. The incorporation of indium and copper metal provides the enhanced absorption of irradiation beams, while the MAA and DMA in the formulated resist showing radical polymerization could be easily crosslinked through the carbon-carbon bond with the minimal amount of exposure dose of He+ ions to form a negative tone resist. The designed resists exhibit a significantly higher sensitivity of ~12.76 μC/cm2 and ~14.93 μC/cm2 towards the helium ion beam for In-MOCs and Cu-DMA resists, respectively. The well-resolved half-pitch features of ~13 nm and the minimum line width of ~11 nm L/2S with the substantial helium-ion dose of ~30 μC/cm2 for In-MOCs resist, whereas, the well-resolved high resolution (HR) ~ 10 nm half-pitch (HP) and ~9 nm (L/2S) line patterns at a considerable He+ dose of ~35 μC/cm2 for Cu-DMA resist. The calculated LER and LWR for 13 nm half-pitch patterns are 2.56 ± 0.06 nm and 2.48 ± 0.08 nm, respectively for In-MOCs resist, while the computed line edge roughness (LER), line width roughness (LWR) for HR~10 nm (HP) line patterns are 2.24 ± 0.08 nm and 3.1 ± 0.09 nm, respectively for Cu-DMA resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Polymeric materials have been utilized in semiconductor industries such as pattern formation materials. One of the drawbacks of such polymers is the lack of etch resistance. Metal infiltration into polymer matrices is an emerging technology that could overcome the issue. The gaseous organo-metal precursors penetrate into polymer matrices where they are oxidized to form stable metal oxides. Thus formed organic-inorganic hybrid material shows superior etch-resistance which enables to form patterns with high aspect ratio [1]. One of the most commonly studied processes is the infiltration of trimethylaluminium (TMA) into polymethylmethacrylate (PMMA). One of the issues of this technology is that increase in volume of the polymer after the infiltration is inevitable, since metal oxides are added to the polymer. For example, we observed over 10 % of volume expansion for the combination of PMMA and TMA [2]. If this technology was dedicated to small feature sizes, it would be preferable to maintain its original shape. Poly(tert-butylmethacrylate) (PtBuMA) has a similar structure to PMMA except that it has a tert-butyl group on its side-chain instead of a methyl group. This polymer is known to decompose above 180 degree Celsius, releasing the butyl group in form of 2-butene. If the out-going of tert-butyl group and the in-coming of TMA occurs simultaneously under ambient temperature, we can expect to see a balance of volumetric shrinkage and expansion. In this paper, a concept using PtBuMA to compensate the volume increase after metal infiltration is described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed an organic-inorganic hybrid resist platform featuring versatile ex-situ control of its performance by incorporating inorganic elements using vapor-phase infiltration (VPI) into standard organic resists. With poly(methyl methacrylate) (PMMA)-AlOx hybrid as a model composition we unveiled controllability of the critical exposure dose, contrast (as high as ~30), and etch resistance; estimated Si etch selectivity over ~300, demonstrating high aspect ratio ~17 with ~30 nm resolution Si fin-structures. Building upon the demonstration of PMMA-AlOx hybrid resist, we expanded our material portfolio to a high sensitivity resist and other inorganic moieties. We present preliminary results obtained from the extreme ultraviolet (EUV) lithography dose tests conducted on corresponding infiltrated hybrids and optimization of infiltration with the help of transmission electron microscopy (TEM).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Low-energy electrons are released whenever ionizing high-energy radiation interacts with matter. They drive chemical reactions in the irradiated material, enable state-of-the art nanofabrication technologies such as focused electron beam induced deposition (FEBID), and contribute to the reactions of resist materials in extreme ultraviolet lithography (EUVL). This contribution presents fundamental research on the role of low-energy electrons in FEBID and EUVL. We discuss how reactions initiated by low-energy electrons can be exploited in FEBID to obtain metal-containing deposits with optimal purity. Regarding EUVL, the role of low-energy electrons in the conversion of an inorganic resist by EUV absorption has been investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Catalyst diffusion is a critical component of the pattern formation process in chemically amplified resists (CARs). In this study, we used a concerted experimental and modeling effort to examine diffusion of an inert catalyst analogue (sodium triflate) in a hydroxystyrene-based ESCAP terpolymer resin. First, atomistic simulations at high temperatures reveal an order-of-magnitude enhancement of the Fickian diffusivity in the protected reactant versus the fully deprotected product, while time-of-flight secondary ion mass spectrometry (TOF-SIMS) measurements at temperatures near the glass transition show no appreciable differences. The data from simulations and experiments conform to a unified curve, enabling estimates of the Fickian diffusivity at relevant post-exposure bake (PEB) temperatures through extrapolation. Second, acid-catalyzed reaction kinetics were measured with Fourier-transform infrared spectroscopy and compared with reaction-diffusion models based on the estimated Fickian diffusivities. The initial kinetics in experiments is orders-of-magnitude faster than predictions, demonstrating that models of catalyst transport should capture effects beyond Fickian diffusion. Finally, the simulations examined ion-ion and polymer-ion interactions at the atomistic level, features that are difficult to probe by experimental investigations. These data show that ion pair clustering in the protected and deprotected materials is similar as temperature is reduced, and ion pair dynamics in both materials is dominated by interactions with hydroxystyrene repeat units. These trends explain the experimental observations that ion diffusion is similar in the protected and deprotected polymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Although Extreme Ultra Violet (EUV) at 13.5 nm wavelength already moved into commercialization state, serious technical issues remain as important challenges. Local variation, such as intra-filed CD uniformity or LER, is typically identified from calculated mean CD utilizing top-down view observation. In this study, cross sectional SEM was applied efficiently and resist fundamental behaviors were visualized and quantified. Furthermore, I would mention about minimum structural unit constructing resist pattern related to resolution limit and LER.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the field of semiconductor manufacturing, there is still a continuous search for techniques to improve the Critical Dimension Uniformity (CDU) across the wafer. CDU improvement and general defectiveness reduction increase the industrial yield and guarantee high reliability standards. In the KrF Dual-Damascene module integration, at a lithographic level, deep trench planarization is mandatory to minimize interference patterns of the photoresist known as the swing curve effect. Swing curve models explain why small changes in the film thickness of the photosensitive material can create wide critical dimensions changes. Different approaches have been developed to improve the CDU, like the etch-back approach and partial via filling. Within the plethora of materials studied to improve the performances, the Marangoni-effect based underlayers are now showing their potential. In the past, an extensive testing of a double-layer solution comprising one Marangoni-effect-based material and one standard underlayer has been carried out with success. Despite this, double-layer spinning brings drawbacks at manufacturing level, increasing the cost and limiting the tools’ throughput. A new solution, resulting from the chemical tuning of the material exploiting the Marangoni effect has brought to the synthesis of a new single-layer planarization material, with properties similar to the previously tested double-layer approach. This advanced material opens the pathway to an alternative and cost-effective way to solve the issues typical of this module integration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The continued miniaturization of integrated circuit features has been made possible through multilayer patterning processes where different etch steps transfer the patterned photoresist image through various hardmasks and ultimately to the underlying substrate. Spin-on carbons (SOCs) are a type of a solution-dispensable carbon hardmask that can offer excellent resistance to various etch gases for good pattern transfer fidelity, while simultaneously conferring desirable gap fill and planarization properties onto the underlying substrate. We recently reported on the development of a new SOC platform with excellent etch resistance, having a relative reactive ion etch (RIE) rate of 1.08 compared to amorphous carbon. However, one drawback we observed for this polymer was its relatively high absorbance between 400-700 nm which can complicate lithographic alignment. Here we report our work on reducing the absorbance of our SOC platform while maintaining its excellent etch resistance. We identify that the origin of high absorbance is from side reactions that occur during curing and discuss the various polymer modifications or additives that prevent these unwanted processes. We additionally look at any trade-offs that are observed between decreasing absorbance and etch resistance and optimize the SOC’s composition to minimize absorbance while having a minimal effect on its etch resistance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Metal impurities in lithography materials are becoming a serious problem in leading-edge semiconductor device manufacturing. General ion-exchange type metal purifiers generally utilize a functional group with strong acidity. Since, various kinds of lithography materials are very sensitive to acidity, there is a risk of that acidity causing a deprotection reaction in chemically amplified resists, and hydration decomposition of ester solvents. In this paper, we will attempt to demonstrate that a novel membrane purifier called Nylonpolar can drastically reduce metal contaminants in organic solvents used for chemically amplified photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme Ultra-Violet (EUV) lithography is pushing material suppliers to provide the cleanest possible products for tight quality standards. The emphasis on minimizing residual particles, metals, and organics coming from materials and equipment continues to increase. Filter design and its key sub-components such as membrane continue to play a significant role to enhance performance in EUV lithography by reducing defectivity. This necessitates an improvement in retention and cleanliness for both bulk and point-of-use (POU) filters. While POU filtration targets high retention, typically achieved by membrane’s reduced pore size, the main requirement of bulk filtration is maximizing the amount of material recirculated through the filter per unit of time and is achieved with improved tortuosity and well-defined pore structure. In this study, we present a novel HDPE filter specifically designed to provide a high flow (lower differential pressure) without sacrificing retention characteristics. The new membrane was assembled in a POU filter format and compared head-to-head with a state-of-the-art HDPE membrane filter for POU application. The flow performance was assessed by differential pressure (dP) measurement, which showed an enhanced performance benefit of dP reduction by 50% compared to the reference filter, while all other test parameters are improved or at least comparable. The filter cleanliness was quantified by liquid particle counter (LPC), GC-MS, and ICP/MS measurements. Finally, comparative defect data was obtained from the blanket and pattern wafers, prepared on imec EUV cluster comprised of TEL Clean Track LITHIUS Pro-Z and ASML NXE:3400B with a 16nm L/S test vehicle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Current applications of silicon photonic devices are strongly limited by waveguide performance. Rough sidewalls scatter electromagnetic radiation and lead to significant losses. Prototyping of state-of-the-art silicon photonic devices on full wafer scale requires maskless manufacturing. Therefore, variable shaped electron-beam lithography in chemically amplified photoresists and anisotropic etching processes are used. As a result, size and roughness errors in the photoresist structures are directly transferred to the silicon-waveguides. In this study a high-resolution chemically amplified negative photoresist for electron-beam writing was run in and optimized for photonic device manufacturing successfully. The investigation of the photoresist contrast and critical dimensions enabled the production of smooth and critical dimension stable lines in photoresist, which exhibit vertical sidewalls as well as a resolution limit far below 100 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this presentation, we show our efforts toward the discovery of extreme UV (EUV) resists based on the radical reactions that highly fluorinated molecular units undergo. Recently, we reported that small molecules equipped with perfluoroalkyl ether (PFAE) chains or fluoroarene units show solubility change under high-energy electron beam (e-beam) or EUV light via intermolecular chemical network formation. Although this non-chemical amplification-type imaging mechanism worked without the help of any catalytic species, its low sensitivity characteristics had to be improved for practical use. A solution was sought in polymeric resist platforms, particularly those possessing a uniform composition and high enough glass transition temperature (Tg). A perfluoroalkyl moiety was introduced to maleimide (Mi) to give RFMi, which could then be copolymerized in an alternating manner with styrene (P1) and a styrenic derivative containing a Sn atom (P2) or acid-labile protective group (P3). By using a reversible deactivation radical polymerization method, the copolymers could have narrow molecular weight distributions (polydispersity index (PDI) < 1.5) along with their uniform monomer compositions. Thanks to the rigid backbone structure enabled by the maleimide units, the perfluoroalkylated copolymers could be characterized by high Tgs over 100‡C. When lithographic patterning tests were performed under EUV exposure conditions, the thin films of all the alternating copolymers could be tailored down to the 30-nm size, and in particular, the one with Sn atoms (P2) made patterns with 22 mJ/cm2. These results demonstrate the useful nature of the imaging chemistry that highly fluorinated molecular units enable under high energy radiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Whilst traditional chemically amplified resists (CAR) support the initial insertion of EUV lithography, a wide range of other resist materials are being examined for future nodes, aiming to identify a photoresist that simultaneously meets RLS and defectivity requirements. It is becoming increasingly clear that this should involve a novel mechanism—a new chemistry that can be tuned to allow for improvement of the RLS requirements. One potential approach is the multi-trigger concept wherein a reaction will only occur when multiple elements of the resist are initiated concurrently and in close spatial proximity. At the centre of exposed features, where the exposure dose is sufficient the resist reaction is thus catalytic as in a CAR, but at the edge of the features the reaction is secondorder in nature, and thus the chemical gradient is increased. In effect the resist features an intrinsic, inversely dose dependent, quenching of the catalysis, enhancing chemical contrast and thus resolution, and reducing roughness, whilst eliminating the materials stochastics impact of a separate quencher. The multi-trigger material previously presented consists of a base molecule and a crosslinker, which represent the resist matrix, together with a photoacid generator (PAG). Research has been undertaken to improve this resist, in particular focusing on improving resist opacity and crosslinking density. Our work on high-Z cross-linker molecules mark I and mark II has previously been reported and LER figures below 3 nm for lines and spaces patterned at 14 nm half pitch using the high opacity MTR resist on the EUV-IL exposure tool at PSI were shown. Here we present results from further work focused on the enhancement of the high-opacity MTR resist. A new high- Z crosslinker molecule, mark III, has been synthesized and introduced in the MTR resist to make the high opacity MTR compatible with the ethyl lactate and PGMEA casting solvents. We report results obtained using the new MTR system containing the high-Z cross-linker mark III, with a variation of process conditions and formulation variations. The lithographic performance, of a formulation containing this crosslinker, at pitch 32nm patterned on an NXE3350 is presented A biased LWR of 4.2 nm for a line width of 15.1 nm is shown. Introducing a PEB induces performance changes for the MTR4L3Y(2) resist. The sensitivity improves by over 20% with 80 °C PEB. However, the PEB does lead to a 12% increase of the LWR. Overall, the lowest Z factor (using biased LWR) occurs with a 60 °C PEB temperature. The Z factor is also significantly lower with a film thickness of 22.5 nm compared to 20 nm. The thickest film thickness tested using the NXE3350 is 22.5 nm. However, at PSI, 12 nm lines on a 28 nm pitch were patterned with an LWR of 2.07 nm using a film thickness of 25 nm. In addition to varying the opacity of the resist, we have also investigated increasing the activation energy of the selfquenching aspect of the MTR system. In this case, MTR8 has a higher activation energy than MTR4. Having a higher activation energy should allow introduction of PEB to increase crosslinking and reduce pattern collapse, whilst simultaneously preserving the self-quenching behaviour. We present results which show a decrease in dose and Zfactor using MTR8 at this formulation ratio compared to MTR4, when tested at PSI. The results also show a Z factor improvement when using a 60 °C PEB. A standard opacity multi trigger resist was patterned on the MET5 at the Lawrence Berkeley National Laboratory, and the effect of different development processes was studied using 1:1 dense line features at pitch 32nm. Reducing the development time in nBA had an adverse effect on pattern collapse and LWR. However, changing to on track development process using 2-heptanone gives a 10% LWR improvement at the 25 nm film thickness studied. Additionally, a pillar pattern was studied when using a film thickness of 28 nm. Here we present pillars with a LCDU of 1.85 nm with a CD of 21.4 nm patterned at a 40 nm pitch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The enhancement in chemical gradients between the EUV exposed and unexposed areas can generate a wider process window, possibly, a smaller stochastic defectivity, and a lower local CD uniformity in EUV resists. This enhancement, in turn, helps to overcome the challenge of the small process window in high NA EUV lithography. In this work, a new concept resist, which is developed based on our chemical gradient enhancement technique model, is used to drive the chemical gradient upward chemically. The resist also has the capability of absorbing UV selectively at EUV exposed areas. Therefore, the UV flood exposure system, which has been discussed in Photosensitized Chemically Amplified ResistTM (PSCARTM), is used as another key part to further enhance the new resist. The new concept resist with UV lights was confirmed to give 15.1% improvement in its EUV sensitivity and, simultaneously, 25.0% improvement in local CD uniformity. This technique might be one of the solutions to bring CAR resist further into high-NA EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Currently, there are many developments in the field of EUV lithography that are helping to move it towards increased high volume manufacturing (HVM) feasibility. Targeted improvements in hardware design for advanced lithography are of key interest to our group, specifically metrics such as line width roughness (LWR) smoothing, dose reduction processes, and defect mitigation. In this study, we investigate how novel hardware solutions currently available on our SCREEN DT-3000 coat-develop track system, can be used as complementary non-patterning approaches to boost resist scaling even further. The utility of SCREEN non-standard hardware features to enhance overall lithography performance of a main chain scission EUV resist was deeply explored, and new process approaches were successfully identified. We hereby present our work utilizing the SCREEN DT- 3000 coat-develop track system with an ASML NXE:3400 to improve sensitivity, CD uniformity, line width roughness, and defectivity levels of aggressive dense L/S patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Directed self-assembly (DSA) is one of the candidates for next generation lithography. Over the past years, many papers and presentation have been reported regarding DSA, and Tokyo Electron Limited (TEL is a registered trademark or a trademark of Tokyo Electron Limited in Japan and /or other countries.) also has presented the evaluation results and the advantages of each1-10. Polystyrene-b-polymethyl methacrylate (PS-b-PMMA) has been used in many report because the polymerization technology is established and it is easy to form vertical patterns. In addition, the chemical epitaxy flow for PS-b-PMMA has established well to improve defectivity, line edge roughness and line width roughness. On the other hand, it is difficult to achieve less than 24nm pitch pattern by PS-b-PMMA because of the low chi parameter. In this paper, the combination process of DSA and SADP (self-aligned double pattern) is proposed for further small pitch pattern by PS-b- PMMA and latest results are reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Results for the self-assembly of lamellar silicon-containing high-χ block copolymers (BCP) with innovative neutral top-coat design are presented. We demonstrate that these materials and associated processes are compatible with a standard lithographic process, and oriented toward a potential high volume manufacturing. We show that this dedicated technology is able to guarantee the stability and planarity of the stack even at elevated self-assembly bake temperatures, and opens new opportunity in the fields of 3D BCPs stacks. Finally, we show interesting results for the etch-transfer of a lamellar BCP in silicon.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In contrast to the technology relying on the reaction of photosensitive materials with light, directed self-assembly (DSA) uses the microphase separation of block copolymer (BCP) to define the pattern. Because of the inherently different nature of pattern formation, L/S pattern with the pitch around 30 nm has been demonstrated with DSA of PS-b-PMMA system without suffering from typical challenges of EUV resist pattern. Instead, the major challenge was to control DSA-specific defects, which is the result of the non-ideal assembly of BCP, within timescale acceptable for high volume manufacturing. Holistic optimization of material and process conditions enables low and stable defectivity of DSA process with improved throughput. In this paper, the key learning from PS-b-PMMA system will be thoroughly reviewed. And the strategy to leverage this learning for developing high-chi DSA system will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photo-directed orientation control of block copolymer (BCP) domains is a powerful method for generating distinct regions of perpendicular and parallel-aligned lamella in a single film layer. In this study we demonstrate the versatility of Poly(aryl methacrylate) films for controlling the wetting behaviour of PS-b-PMMA films after UV irradiation. Upon exposure to UV light (254 nm), the surface polarity of Poly(aryl methacrylate) films changed due to the photo-Fries rearrangement of the aromatic ester groups. The switch of PS-b-PMMA alignment from parallel to perpendicular lamellar structures was demonstrated after UV exposure to appropriate doses of poly(aryl methacrylate) films. The UV dose required to switch alignment and orientation in a wide range of BCP films can be tailored by rational structural design of the poly(aryl methacrylate). This simple, rapid, cost-effective and flexible approach to controlling BCP orientation makes this photo-directing chemoepitaxy approach promising for block copolymer self-assembly applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photoresists have been widely used as patterning materials for electric devices such as displays and semiconductor. Understanding pattern formation mechanism is essential for the efficient development of resist materials. In this study, we investigated the dissolution kinetics of poly(4-hydroxystyrene) (PHS) with weight-average molecular weights (Mw) of 9000-30000 and molecular weight distribution (Mw/Mn) of 1.07-1.20. The dissolution kinetics of PHS films was observed in tetramethylammonium hydroxide (TMAH) aqueous developers using a quartz crystal microbalance (QCM) method. The TMAH concentration was changed from 0 to 2.38 wt%. The obtained data were analyzed using polynomial regression to clarify the effects of Mw and Mw/Mn on the dissolution kinetics of PHS films. From the results of analysis, both dissolving and swelling behavior largely depended on Mw/Mn. Mw had a little effect on the dissolving, and however, had a large effect on the swelling in dilute TMAH aqueous solution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The high purity requirements of materials used in semiconductor manufacturing are being pushed to unprecedented levels as demand for reliability in computer processors over increasingly longer lifetimes continues to rise. The production of these high purity chemicals requires new purification methods and technologies. One of the limiting factors in purification process is to bring the metal impurities into close contact with purifying surfaces. Current metal reduction techniques rely on ion exchange technology however, the pathways are large in comparison with the size of the unwanted metal species. A new approach is required to increase the probability of contact between the metal species with the exchange surface. In addition, fluid channels need to be mixed, rotated, and inverted in order to increase the probability of surface contact. The new approach discussed in this paper would present a method for dividing the fluid through micro-channels that form tortuous pathways. These micro-channels allow for further dividing and converging of the fluid thereby presenting the metal species to the purifying surfaces throughout the porous matrix. Several high purity chemicals such as PGMEA used in microelectronic industries were purified using the above approach. The metal concentrations of low parts per billion (ppb) were effectively reduced to low parts per trillion (ppt). The ion exchange capability was a function of the concentration and the presence of the species in the solution. Two ion exchange chemistries of strong acid and chelating were made into these structures and their purification performances were assessed and compared in terms of removal efficiencies. Furthermore, these two chemistries were evaluated in series to demonstrate the overall synergistic purification capabilities.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) lithography faces major challenges for smaller nodes due to the impact of stochastic and processing failures.1 One of the main challenges for pitch shrink at these nodes is the optimization of the trade-off between break type defects versus bridge type defects as the process window between these defect modes gets smaller.2 In this paper, we examine EUV defect reduction techniques for Chemically Amplified Resist (CAR) and Metal Oxide Resist (MOR) via coater/developer process development combined with optimized etching processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To maximize value in integrated circuit manufacturing, semiconductor manufacturers continually seek materials that enable processing with higher throughput and which provide higher yield. 193nm immersion lithography (193i) has been a mainstay in semiconductor manufacturing for many years. However, 193i throughput is constrained by topcoat layers necessitating additional processing steps, and yield is impacted by defectivity resulting from water droplet interactions with wafer surfaces. To overcome these limitations, a platform of high contact angle embedded barrier layer (EBL) materials was developed and EBL polymers were incorporated directly into advanced photoresist formulations, obviating the need for topcoat, permitting faster wafer scan speeds, and lowering defectivity from water droplet rupture or water leakage during immersion processing. These EBL materials were designed with a built-in solubility switch such that they maintain a hydrophobic surface during scanning but can rapidly solubilize in aqueous base developer and rinse away during development, significantly outperforming previous generation designs. This report will detail the development, evaluation, and optimization of new EBL materials with substantially higher contact angles than previous materials for next-generation 193i applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For extreme ultraviolet lithography (EUVL), resist mask pattern height is limited by resist line pattern collapse. High aspect ratio patterns improve resist roughness performance and provide more etch resistance to allow a wider margin of etch transfer. However, the high aspect ratio patterns tend to induce pattern collapse easily. In the past, many works have focused on the reduction of capillary force to mitigate pattern collapse. These methods employ low surface tension rinse and optimization of developer process. However, other factors can also influence pattern collapse. Another important parameter causing pattern collapse is the low adhesion force between the resist and underlayer. In this work, we investigate the adhesion properties between resist and underlayer materials. Materials screened were spin-on SiARC, organic BARC, SiC as well as CVD SiON. In addition, two adhesion treatments were used for the underlayers to change the surface properties. Each underlayer material was evaluated on a criteria of pattern collapse limit CD and process window. Adhesion between resist and underlayer is discussed by using adhesive work. The adhesive work was calculated from surface free energy and found to have good correlation with pattern collapse limitting CD. As an application of the improved adhesive work, a resist film thickness was increased to achieve higher aspect ratio (AR) patterns. While the reference pattern was limited by collapse at an AR of 1.2, with the improved adhesion, an AR of 2.1 was achieved. The knowledge gained in this work will be especially useful for 5 nm and smaller nodes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study presents how sequential infiltration synthesis of trimethyl aluminium and water into a carbohydrate-based block copolymer was used to enable pattern transfer of 6 nm half-pitch horizontal cylinders into silicon. Specular neutron reflectometry measurements of poly(styrene)-block-maltoheptaose self-assembled into horizontal cylinders indicate an increasing content of alumina after each sequential infiltration cycle, comparing 0, 1, 2, and 4 cycles, with alumina content reaching 2.4 vol% after four infiltrations cycles. Dry etching processes in inductively coupled plasma reactive ion etching for sub-10 nm patterns were developed, using a two-step technique: O2-plasma for polymer removal and a reactive ion etching of Si using a mixture of SF6 and C4F8 gases. Etch selectivity of more than 2:1 of silicon over alumina-like etch mask material was achieved. To evaluate the etching process, the etched Si structures were measured and characterized by scanning electron microscopy. These results are expected to be of use for nanofabrication and applications in the sub-10 nm regime.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
While great advances have been made to move extreme ultraviolet (EUV) lithography toward manufacturing readiness, a difficult challenge remains. Although standard chemically amplified resists (CAR) can be exposed with EUV, these materials struggle to achieve resolution targets and are not nearly as sensitive as those created for ArF exposure. Non-CAR resists, such as metal-oxide resists, offer an alternative that achieve both EUV resolution and sensitivity targets. However, the inclusion of metal oxides poses a challenge to traditional filtration designed to remove unwanted dissolved contaminants from the fluid stream. Ultrahigh molecular weight polyethylene (UPE) filters have been used for metal oxide EUV resist filtration because of high retention efficiency and excellent photochemical compatibility. This paper describes our joint effort to improve Inpria metal oxide EUV resist defectivity usingfiltration optimization. A study comparing various Point-of-Use (POU) filters was conducted to identify filtration solutions that reduce defects in Inpria metal-oxide EUV resist coatings. Several filters utilizing a variety of retention ratings and membrane designs were installed on a TEL Clean TrackTM ActTM 12. A metal oxide EUV resist was filtered and coated on wafers that were subsequently analyzed for total wet particle counts. This study presents the efficacy of optimized filtration design to reduce defects in metal oxide EUV resists and provides a recommendation to achieve low wafer coating defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam (EB) lithography is indispensable for the fabrication of photomasks including extreme ultraviolet masks. With the miniaturization of electronic devices, the requirement for photomasks becomes severe. When the feature size was decreased, the resist patterns collapse due to the surface tension of liquid. The decrease of resist film thickness is required for the high-resolution patterning in order to avoid pattern collapse. However, the quality of resist patterns is known to be degraded.
In this study, the resist film thickness dependence of the pattern formation of a chemically amplified electron beam resist on chromium nitride substrate was investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the semiconductor industry continues to advance and on-wafer defect reduction by liquid filtration has become “universal” as a process enabler for advanced technology nodes, the need for innovative filtration solutions that reduces target contaminants has become critical.
Filtration technologies for metal cleanliness in photochemicals, including lithography materials and solvents, continue to grow and contribute to die yield improvement at wafer level. Both point-of-use (POU) and bulk filtration play important roles in achieving high-purity chemicals and processes by eliminating metal contaminants in critical fluids. However, the increasing complexity of photochemicals, such as multicomponent resist formulations (organic or inorganic), necessitates advanced filtration membrane technology that is compatible with their various components, including the metal oxide nanoparticles.
In this regard, the ideal filtration technology should rely on functionalization with tailored chemistries to selectively remove target metals, without interactions with the key components in photoresist formulations. Also, due to the variation in forms and species of metals in different fluids, the next generation filtration technology should be able to act as a versatile platform with customization capability to optimize removal efficiency in each fluid.
The objective of this study is to demonstrate the metal removal performance of different functionalization chemistries on PTFE and HDPE membrane and investigate the impact of various surface modification designs on removal selectivity and efficiency.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The acid-catalyzed cleavage of carbon-oxygen bonds is one of the most critical reaction occurring during image formation using chemically-amplified photoresists. Described here is an approach to modelling these important reactions using Spartan'18 software. This modelling predicts the activation energies of catalyzed and uncatalyzed C-O bond breaking reactions for over fifty acetate esters. To verify the capabilities of this modelling approach, we compared the modelled predictions of activation energies against experimental values for hydride ion affinity values for forty carbocations. Using similar modelling techniques, we predicted the activation energies of C-O bond breaking of commonly used 193-nm monomers as catalyzed by perfluorobutanesulfonic (PFBuS) acid. Additionally, we evaluated the effect of carboxylic acid size and the effect of increasing alkyl substitution on the carbocation intermediate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.