Poster + Paper
10 April 2024 High-NA mask phase-effects studied by AIMS EUV
Matthias Roesch, Grizelda Kersteen, Andreas Verch, Maximilian Albert, Philip Heringlake, Klaus Gwosch, Renzo Capelli
Author Affiliations +
Conference Poster
Abstract
In parallel to the development of EUV lithography equipment and processes towards High-NA there is a still growing interest to study and understand the role of 3D mask absorber driven effects on imaging. Alongside with appropriate exposure and patterning techniques, the choice of a suitable mask absorber material has large impact on the process window optimization. The development of solutions to the challenges combined with the EUV reflective mask typically is based on rigorous imaging simulations, but aerial image metrology can be a supplementary way to verify the role of mask 3D effects on lithography. For that purpose, the ZEISS AIMS EUV actinic mask measurement represents a unique and variously usable way for the qualification of the current as well as the next generation High-NA mask printing performance under scanner-equivalent optical column conditions. In this paper, we show results of AIMS® EUV measurements at Ta-based absorber masks where we identify a significant impact of mask 3D driven phase shifts on the aerial images. For a standard High-NA dipole setting, the intensity profiles of a dense 18 nm vertical lines and spaces structure show an initially unexpected line splitting through focus that can be reproduced by rigorous imaging simulations. In contrast, no splitting is found if the experiment is described within a 2D model. A follow-up experiment on a similar dense vertical 20 nm lines and spaces clip with dedicated monopole illumination demonstrates a prominent asymmetric line splitting for each of the single pole positions, again in accordance with simulation. For a center monopole setting we see a frequency doubling of the aerial image for one particular focus position. To describe the aerial image behavior, we applied an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects. Consequently, the observed through-focus behavior can be explained by various phase shifts to the three-beam imaging cross-terms involved.
(2024) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Matthias Roesch, Grizelda Kersteen, Andreas Verch, Maximilian Albert, Philip Heringlake, Klaus Gwosch, and Renzo Capelli "High-NA mask phase-effects studied by AIMS EUV", Proc. SPIE 12953, Optical and EUV Nanolithography XXXVII, 129531F (10 April 2024); https://doi.org/10.1117/12.3010001
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
3D mask effects

Light sources and illumination

Extreme ultraviolet

Simulations

3D image processing

Extreme ultraviolet lithography

Diffraction

RELATED CONTENT


Back to Top