PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Thin-film interference effects change the fraction of energy available for absorption in the photoresist, resulting directly in linewidth changes. This paper addresses the absorbed energy variation due to the variation in films underlying the photoresist. An optical thin-film interference model is developed and compared to measured reflectivity data for continuously varying silicon nitride under DUV positive photoresist. The model is used to predict an improved linewidth control of greater than a factor of three for broadband over monochromatic illumination, which is also experimentally verified. In addition, simulated and measured data are presented with and without an antireflective coating underlying the resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Shipley XP-89131 is a wet developable negative tone DUV resist, capable of resolving features down to 0.3 micrometers when used in conjunction with the ASM- L PAS 5000/70 stepper (NA equals 0.42). Practical implementation of this material at the limit, however, is marred by several problems, notably, poor C.D. (critical dimension) control over steps, inadequate adhesion and the formation of various types of residue between features. The authors have endeavored to find means by which these effects may be reduced. Methods investigated for tackling the residue problem have included the use of metal ion free and metal ion containing developers, a comparison of puddle, immersion and spray develop processes, changes in the percentage overdevelopment employed, as well as the effect of developer temperature. Additional work has been directed towards examining the effect of post-exposure baking time. The high transparency of such resists, coupled to the high reflectivity of substrates at 248 nm, gives rise to severe C.D. control problems over topography. We examine the effectiveness and tradeoffs of two alternative approaches potentially capable of effecting an improvement. Spin coatable DUV ARC materials have been found to significantly improve C.D. control over polysilicon and aluminum topography, although the more retrograde profiles observed, in conjunction with optical proximity effects, can impose other limitations. Several beneficial side effects have been noted, however, including improved adhesion on aluminum substrates, a wider exposure window and easier stripping of the resist following dry etching. Potential yield reducing factors such as the presence of resist residues or bridges between features, are also significantly reduced during the dry development of the ARC. The alternative method of employing dyed resists has also been evaluated using both Shipley XP-90166 and XP-90174 resists. While the latter version does offer some improvements over the undyed XP-89131 material, it is not as effective as the ARC approach in controlling C.D.s over topography. It is apparent too that the resolution limit of such materials has been blunted. Finally the focus and exposure latitude has been determined for features printed on silicon, both with and without ARC. In a similar way the focus/exposure window has been determined for etched contacts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses issues concerning the use of a thin absorbing organic anti-reflective (AR) coating for 0.5 micrometers excimer laser lithography. Linewidth profiles, CD control, substrate dependence and etching are all affected by the presence of the AR coating. The advantages of implementing highly absorbing organic AR coatings are that CD control with resist thickness (swing curve) is improved, substrate reflectivity effects becomes negligible, adhesion is improved and reflective notching is decreased, leading to better CD control over topography. For example, the swing curve was reduced from 0.18 micrometers to 0.04 micrometers by using an absorbing AR film. Exposure/focus latitudes were modeled using Obelisk software. This gave an exposure dose of 26 mJ/cm2 for printing 0.5 micrometers dense lines on aluminum, poly- silicon, oxide, nitride and tungsten. In addition, the exposure latitudes for these substrates was found to be +/- 10% when the total depth of focus was 1.2 micrometers . Statistically designed experiments were used to optimize resist profiles of the SNR248 resist images on AR coating. Issues relating to implementing organic AR coatings under a 1300 angstrom absorbing AR coating reduced reflectivity variation on poly-silicon from 38% to 6% over a resist thickness range of 350 angstrom. By using the AR coating the swing curve variation was reduced from 0.18 micrometers to 0.04 micrometers .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Given a resolution requirement and the imaging wavelength, there is an optimum NA. If the NA is too low, the resolution cannot be achieved, but if the NA is too high, the depth of focus, which is inversely proportional to NA2, becomes unacceptable. There is an optimum NA where the depth of focus is maximum. In this paper the optimum NA given by the aerial image is determined unambiguously by evaluating the normalized depth of focus k2 as a function of the normalized resolution k1, then identifying the k1 at which the function k2/k12 is maximum. The optimum NA is then simply the optimum k1 multiplied by (lambda) /NA. A substantial amount of work is required to evaluate k2 as a function of k1 by means of exposure-defocus trees and windows. In this paper, all k2 and k2/k12 as functions of k1 are given for line-space pairs, isolated line openings, isolated spaces, holes, islands, combination of the 3 long features, and of all the 5 features. A 10% exposure budget is used to simulate the situation of single layer resist systems and 30% exposure budget for multilayer resist systems. The results show optimum NA for individual feature shapes much lower than expectation, gaining insights to the problems occurring in manufacturing and in reducing the usable k1. They also lead to the following observations. The optimum k1 for single resist systems ranges from 0.57 to 0.87 depending on the feature shape. That for multilayer resist systems ranges from 0.42 to 0.7. Opaque spaces have the lowest optimum k1. Line openings have the largest depth of focus. Positive resists and negative masks are preferred to delineate contact holes. Negative resists and negative masks are preferred to delineate gates and metal lines. The opaque island is the limiting feature for the line-space pair, line, space, hole, and island combination at larger k1. That for the combination of line-space pair, line, and space is the line-space pair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The aggressive drive to reduce device geometries to submicron levels while utilizing ULSI design rules has posed many challenges to traditional semiconductor process technologies. For example, as photolithographic hardware manufacturers, and engineers, begin to define and develop the next generation systems, it has become apparent that process margins are severely minimized with respect to the capability of existing hardware. As a result, all aspects of the process, especially the chemistry of the resist and develop modules, must be optimized and thoroughly understood to provide maximum process latitude. The work described in this publication details a process optimization effort that led to a manufacturable, single layer, G- line lithographic system capable of achieving and maintaining the necessary process control designated by aggressive design specifications. The photolithographic process was characterized using Advanced Engineering Techniques, as defined by Genichi Taguchi, in conjunction with Response Surface Methodology (RSM) multilevel statistical experimental designs. Optimized conditions resulted in a process capability of greater than 1.5 Cpk control when measured in reference to critical dimension performance. As a result, the newly defined system is capable of operating far beyond the scope of the more traditional systems, and has allowed for the manufacture of products necessary to compete in the advanced IC marketplace.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chromeless phase-shifting is a novel concept that completely avoids the use of chrome for pattern formation in optical lithography. This scheme uses 180 degree(s) phase-shifters on transparent glass to define patterns. The method relies on the destructive interference between phase-shifters and clear areas at the edges of the phase-shifters to define dark or opaque areas on the mask. Gratings sufficiently small (named dark-field gratings) will produce sufficient interference to completely inhibit the transmission of light. The combination of these effects makes it possible to form a wide range of patterns, from line-space patterns to isolated bright or dark areas. The lithography simulators SPLAT and SAMPLE were used to understand the principles behind this new scheme, and to verify various pattern designs. Simulation and experimental results are presented to demonstrate the concept.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A phase-shifting mask can be used to print groove servo patterns for optical storage disks and provides improved contrast and resolution in printed photoresist images when used in a projection printer such as a Perkin-Elmer MicralignTM-500 mask aligner. Such a phase- shifting photomask structure can be fabricated in the following way. Onto a conventional photoresist coated chrome on fused quartz photomask blank is written a pattern of concentric lines and spaces or a spiral pattern. The photoresist is developed and the exposed chrome etched in the conventional way to yield a transmission mask pattern of twice the pitch desired in the final groove pattern. The quartz is then etched, using the photoresist/chrome and/or chrome pattern as the resist, by either a wet or dry process, to a uniform depth. The depth of quartz etch must be controlled as well as the width of the groove and land in the mask as well as the radius at the bottom of the etched groove walls. The chrome is then completely removed to form a 'chromeless' phase-shifting mask. Phase-shifting masks of this sort have been fabricated and used successfully to print photoresist images on glass disks yielding patterned photoresist structures of 1.5 micrometers pitch, 1.2 micrometers pitch, and 1.0 micrometers pitch. The resulting photoresist pattern could be transferred into the glass substrate by wet or dry (RIE) etch processes to generate the desired glass etch pattern after photoresist removal. Phase- shifting masks of this design require careful dimensional control in 3 dimensions. If the dimensions are not carefully controlled, the desired photoresist pattern is not obtained. For example, if the dimension of the mask groove is too large or if the bottom of the groove is rough, an alternating pattern of groove dimensions is obtained in the photoresist. Grooves etched from such a defective pattern would not be optimal for use as the servo pattern for an optical disk. One modification of the phase mask design described which simplifies the fabrication process is to alter the relative dimensions of the groove and land. If the land is made very small in relation to the groove, then the groove prints as though it were a clear feature in the transmission mask. Conversely, the land prints as though it were a chrome ('dark') feature. The contrast obtained in the image is much larger that can be obtained from a conventional transmission mask of similar dimensions. This 'darker than dark' phase- shifting mask phenomenon may prove valuable in the fabrication of complex patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a new phase shift method for 0.3 micrometers optical lithography. Phase shift lithography provides very high resolution, but current techniques suffer from high contrast levels at the shifter edges and from asymmetric optical intensity profiles. A new method uses conjugate twin-shifters to overcome these problems. This new method provides high resolution for both positive and negative resists and assures symmetric intensity profiles in bright field areas. This method uses two different phase shifts, of (pi) /2 and 3(pi) /2, respectively, placed alternately in the adjacent line pairs. While maintaining the desired (pi) phase shift between adjacent lines, the phase difference between the shifter elements and the mask substrate is reduced to (pi) /2, thus providing optimum resolution while avoiding undesired printing of the shifter edges. Symmetric intensity profiles are obtained by requiring that both shifters provide the same degree of phase shift relative to the substrate; i.e., the phase of the substrate is midway between the phases of the two shifters. The conjugate condition is defined by this phase relationship. The functional characteristics of this new method have been examined both theoretically and experimentally. Experimental results were obtained using a commercially available i-line stepper with 0.50 Numerical Aperture (N.A.) and partial coherence (sigma) -factor 0.50. Mask phase shifters were fabricated of sputtered SiO2 film. Tests were made using novolac-based positive resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Techniques for fabrication and testing of phase-shifting masks have been explored. The masks were formed using quartz blanks with both directly etched-in phase-shifters as well as deposited SiO2 films and photoresist for the phase-shifting layer. The etched-in phase- shifters were fabricated by standard lithographic patterning and dry etching of the quartz mask surface. Phase-shifters consisting of photoresist were applied and important resist properties such as index of refraction and absorption were determined. A technique for precise control of the etched phase step using a self-terminated plasma etch into CVD SiO2 has also been explored. Printed patterns using the masks showed the expected benefits of phase-shifting. We also investigated the effect of fabrication tolerances. For example, misalignment of the auxiliary phase-shifters surrounding a contact hole has little impact on printed resist patterns. Phase-shifter parameters deviating from the optimum value were explored both experimentally and using the optical simulator SPLAT. Sloping side walls as well as absorption and interference in the phase-shifter show varying influence depending on the specific feature and the lens reduction. The tolerance in phase-shift magnitude has been examined in a number of cases, and is generally found to be in the neighborhood of 30 degree(s).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technique for improving optical projection-system resolution by phase-shifting alternate apertures of a periodic grating was introduced in 1982. This halves the frequency content of the image passing through the optics and should therefore double the effective resolution of such patterns. Unfortunately, as feature separation increases, the efficacy of this method diminishes. Previous work applying a similar approach to isolated features involves introducing minute, non-printable, phase-shifted assist slots around the desired feature. The diffraction side-lobes of these slots constructively interfere with the center lobe of the primary aperture. The resolution enhancement afforded be this technique is limited by the printability of the assist slots. This restraint also dictates 1X-size reticle feature dimensions and the employment of high contrast imaging resists. A new approach entails significantly oversizing the desired feature and introducing a phase-shifting region around the periphery. This type of structure affords substantial focus-exposure improvements and may either be fabricated in a single-level, self-aligned scheme or by a two-level exposure with conventional e-beam tools since the phase-shifting regions are on the order of 1 micrometers (reticle dimensions). Extensive modeling of this structure for isolated contact holes and spaces explores the myriad of trade- offs involved in an optimum design. Mask-fabrication tolerances, such as phase-shift uniformity, are also investigated. It is shown that the focus-exposure window enlarges as the overall structure dimensions increase. The degree of enhancement must therefore by weighed against packing density restrictions. Also, the structure suffers, to some degree, from the effect of side-lobes. However, for a given side-lobe intensity, this technique yields enhancements superior to the assist-slot approach. As is typical of phase-shifted systems, performance is improved as the partial coherence ((sigma) ) of the illuminating radiation is reduced. The decrease in throughput sometimes associated with a (sigma) reduction is, in this case, however, mitigated by the oversized aperture that produces twice the illuminating intensity as the corresponding non-phase-shifted feature. Simulated exposure-focus analysis conclude that a 0.45 (lambda) /NA contact hole may be printed with a 15% exposure and +/- .42 K2 unit focus tolerance assuming a +/- 5% CD control. A demonstration mask was patterned with a MEBES III generation reticle writer and exposure-focus latitude predictions for phase-shifting spaces are verified on an I-line, (sigma) equals0.5, 0.45 N.A. stepper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep-UV lithography is being brought on-line for use on 16 Mbit memory devices with 500 nm design rules. Samples of 64 Mbit memory cells are being tested with 400 nm design-rule deep-UV lithography. The recent revival of interest in the phasemask concept promises an extension of deep-UV lithography to below 300 nm design rules. This paper studies the impact of phasemasks on deep-UV lithography by: reviewing requirements being placed on the technology; reviewing the current capabilities of the technology; and reviewing the improvements offered by phasemask technology. Experimental data that was obtained using an etched phasemask on a deep-UV step-and-scan system is supplied. Based on this data, the limits of deep-UV lithography using 250 nm illumination are predicted.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Three types of phase-shifting mask designs are studied with respect to their suitability to print periodical L/S structures. The evaluation criteria are DOF, exposure latitude, linearity, and image contrast and slope of the intensity profile. Mask-making issues are also taken into account. The investigation is based on both simulations and experimental results. A fully transparent shifter causing an optical shift of 180 degrees is considered. A negative tone photoresist is used for the exposures with a KrF excimer laser stepper (248 nm).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A variable phase-shift mask for optical lithography, which gives several kinds of optical phase shifting to light transmitted through the mask apertures, is proposed, and image quality obtained with this mask is investigated. Clear regions with some optical phase shiftings between 0 degree(s) and 180 degree(s) are inserted between the two connected apertures, which are 180 degree(s) out of phase. The role of these inserted regions is to decrease the dip in intensity distribution, which is occasionally needed for producing complicated features. The advantages of this variable phase-shift mask and the effect of wave-front aberrations on imaging characteristics are investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A phase-shifting technique which simplifies mask fabrication and is applicable to actual IC patterns has been introduced into the i-line positive resist process. It combines edge-contrast enhancement and a chromeless mask. Although the effect of this technique on line and space patterns has turned out to be more restricted than that of the alternating mask technique, it can improve exposure and focus latitude in isolated hole patterning. The authors report on their estimation of the optimum shifter width which maximizes contrast enhancement on lines and spaces as well as on isolated hole patterns. Experimental data is presented to verify the improvements in photolithographic performance of isolated hole patterning due to this technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The utility of exposure margin, defined as the ratio between the 1:1 mask reproduction exposure energy and the open frame threshold exposure energy (E0), as an indicator of process latitude, is probed using extensive computer simulations and some experimental photolithography. The correlation is shown to be excellent for latitudes which depend primarily on critical dimension such as mask linearity and exposure latitude: a high exposure margin implies a high process latitude. Qualitative physical arguments are offered to explain this. For sidewall angle constrained latitudes such as defocus, the correlation is also good if comparing photoresists with similar optical absorption characteristics. These results are potentially significant because exposure margin is easily measured and therefore provides an efficient means for process optimization (at zero mask bias). As part of this work a simulation procedure which reduces the focus: exposure: mask dimension latitude to a single process latitude volume was developed and is described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reflected light from steps causes defects in photolithography: extra exposure from a reflective nonplanar substrate induces resist profile damage and CD variations. This phenomenon, called the interlevel proximity effect, is studied for the standard case of polysilicon gate level over LOCOS level (local oxidation on silicon). The problem is extended to a parametric study. For each parameter, we have obtained an interval of critical gate-LOCOS distances in which the patterns are seriously affected. To explain the evaluated results, a simple and appropriate theoretical model is proposed, based on the oblique propagation of light rays. Finally, from the experimental conclusions, solutions to reduce notching are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the exposure technology to manufacture 64M-bit DRAM chips with i-line (365-nm) stepper. The one-shot (conventional) exposure method was tried--a 64 M bit DRAM chip using the i-line lens of numerical aperture (NA)$EG0.50 with or without a phase-shift method--but the process margin was not sufficient in a few layers. The authors have shown that the block exposure method can be used as an exposure technology when the one-shot exposure method cannot be used. The block exposure method divides a step-and-repeat pattern within a single chip into multiple blocks and allows high-resolution exposure of each of these blocks. The stepper with the i-line lens of NAequals0.65 was used. The authors also tried to clarify the effect of coherency caused by the illumination optical system of the i-line stepper. In addition, the alignment accuracy of the block exposure method is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-micron resist features obtained by surface imaging and dry development have been used as masks in the pattern transfer of multilevel metal stacks in a single-wafer multichamber etcher. The calibration of such a negative working submicron lithographic process, derived from a g-line wafer stepper having a specified resolution of 1 micron, is described. Surface imaging was initiated by silylation of a proprietary resist using HMDS, while dry development was performed in a single-stage oxygen process in a conventional reactive ion etcher. Metal etch processes are outlined in conjunction with their effect on resist integrity and removal and the need for post-development deep-UV hardening. While not being part of the two-layer demonstrator, submicron 'via' hole definition in polyimide interlayer dielectric is demonstrated in a single-stage dry development/pattern transfer process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Astigmatism and field curvature of lithography lenses can be measured with an error less than 30 nm using an optical microscope and conventional resolution test patterns. A sequence of through-focus images, recorded in positive resist, are examined with an optical microscope to determine the smallest lines remaining after development. It is only necessary to judge existence of lines; no dimensional measurements are required. Imperfections in fabrication and design that limit the resolution of most lithography lenses are easily identified by this new technique. The method for doing the measurements, the analysis, and recent application to characterization of lithography lenses are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a three-dimensional computer modeling technique for alignment system simulation, and some example calculations. The technique has been developed to address issues of alignment and overlay accuracy for future generation VLSI technology. The analytical basis is a general finite element electromagnetic wave propagation code, EMFlex, that rigorously simulates light scattering from the 3-D alignment mark. Using the Nikon Laser Step Alignment (LSA) system as a model instrument, the overlay error and signal shape are simulated. Examples of an idealized asymmetric metal mark are studied. Preliminary results suggest that the rigorous simulations are substantially different from the one-dimensional Fresnel approximations that have been used previously.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two new alignment sensors for wafer steppers are developed to attain high alignment accuracy on all layers by targeting layers that are difficult to align using the existing alignment sensor, which is based on a laser beam scanning system. The Field Image Alignment (FIA) is a bright- field TV image processing alignment system using broadband illumination. The major advantage of FIA is that due to the broadband light source used for illumination, the edges of the alignment mark can be detected without being influenced by the interference fringes formed by the photoresist. Additionally, even if the cross section of the alignment mark is asymmetrical, the asymmetry can be accurately captured and alignment at the proper position can be achieved. The Laser Interferometric Alignment (LIA) is a grating alignment system based on an optical heterodyne interferometry technique. The advantage of this sensor is that is not affected by surface irregularities such as grains because it will process only specific spatial frequency components diffracted from the alignment mark. Therefore, the spatial frequency components which are diffracted from the metallic grains will be disregarded and will not influence alignment. This allows the alignment to be successful even for low step height or deformed marks. With the development of FIA and LIA, the authors have successfully complemented the existing sensor, so that a high alignment accuracy for the mass production of VLSI with 0.5-0.35 micron rules can be achieved on most layers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The roles of alignment mark structure, geometry, film materials and scanned illumination image on dark-field alignment signal quality are investigated through simulation interpreted experiments. The illumination of the alignment system consists of a low numerical aperture 1.0 micrometers image of a slit at 488.0 and 514.5 nm which is scanned across the alignment mark. The light scattered into a dark-band protected cone is collected. The structures consist of 0.5 to 1.2 micrometers wide features including trenches in silicon coated with 1.34 micrometers of photoresist, and trenches in nitride coated silicon with 1.00 micrometers of photoresist. For simulation, the illumination image is calculated using SAMPLE and input to a massively parallel finite-difference time-domain scattering analysis program called TEMPEST at various lateral shifts to simulate scanning. The diffracted orders output from TEMPEST are used to calculate total diffracted energy in various angular bands and to view dark field instantaneous images. Studied of depth, width, thin-film stack and coating thickness are used to identify key phenomena such as the role of interference between reflection from the alignment mark and substrate surfaces. Simulations are used to interpret the experimental observations and provide guidelines for topography control, and illumination and collection optics. The alignment signal is shown to be a strong function of trench depth, and only a weak function of trench width. An order of magnitude signal strength reduction occurs when the effective optical depth of the trench is a multiple of (lambda) resist/2 compared to the surrounding area.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the computer simulation results of 0.5 micrometers lithography for a 16 Mb DRAM. The model demonstrates, via aerial profiles, the increased focus latitude for deep- ultraviolet (DUV) lithography as compared to i-line lithography. The result translates into a larger process window for manufacturing DRAMs using DUV lithography. The model also isolated an imaging problem at one of the critical levels. Two probable solutions were simulated and then lithographically confirmed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new i-line negative resist has been developed which exploits acid-catalyzed cross-linking chemistry in combination with a sensitizing chromophore and a light absorbing dye. During exposure acid is generated by excitation energy transfer from the sensitizer to a halogen- containing compound. Concurrently, the highly absorbing dye is bleached. The optical component of this resist can be modeled as follows: the imaginary part of the complex refractive index is proportional to A1M1 + A2M2 + B, where M1 is the bleachable dye concentration, M2 is the sensitizer concentration, B is the fixed absorption and A1 and A2 are the corresponding Dill coefficients for bleachable absorbance. The kinetics of the bleachable dye and sensitizer decays are given by (delta) Mi/(delta) t equals -CiMiI. The final acid concentration is a nonlinear function of these concentrations and can be expressed as H equals [(1-M2) + (kappa) (1-M1)]/(1 + (kappa) ) with (kappa) determined experimentally. The exposure is computed by our spectral element numerical method. As exposure terminates, post exposure baking (PEB) takes place, similar in form to the crosslinking of the deep-UV product MegapositR SNRTM 248 Series photoresist. This step is modeled via reaction diffusion equations, producing a nonsoluble monolith due to cross-linking of the polymers. The final profiles are obtained by solution of a system of reaction-diffusion equations and are described graphically using a novel dynamic triangulation algorithm. A comparison between simulated and actual micrographs is given and process latitude and CD control discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The simulation analysis of standing wave effects in deep-UV lithography, which is the most serious problem in 64 MDRAM fabrication, is studied. One lithographic problem for device fabrication is periodic linewidth variation due to multireflection light in the resist film. It was observed that the amplitude of linewidth variation was more than 0.1 micrometers for a 3- component chemically amplified negative resist using a KrF excimer laser stepper (NAequals0.42). So, we simulated the standing wave effect as a function of resist material, exposure tool, and process conditions in order to minimize periodic linewidth variation. The SAMPLE simulator was improved to calculate a series of pattern profiles automatically, to change simulation parameters, and to create a periodic linewidth curve from the simulated pattern profiles. The following discoveries were made: Increasing optical resistance slightly decreases linewidth variation, although the resist pattern profiles degrade significantly. High NA lenses can also decrease linewidth variation under best focus conditions, but, linewidth variation increases significantly under slight defocus. Also, an optimum lens NA value, that minimizes periodic linewidth error, was found. Finally the Anti-Reflecting-Coating (ARC) technique, which can diminish standing wave effects, also degrades pattern profiles. To summarize, in order to minimize linewidth variation due to standing wave effects, it is important to minimize reflectivity, to optimize lens NA and coherency factor by simulation analysis.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 3-D optical lithography simulator has been developed based on a new ray-string algorithm for dissolution etch-front advancement. This simulator, SAMPLE-3D, integrates a number of process simulators on a workstation while also providing display and print capabilities. SAMPLE-3D has been used to look at 3D resist profiles from 2-dimensional mask patterns, including isolated contacts, isolated islands, and elbow patterns. Simulations have been performed on both positive and negative photoresists, and the effects of resist contrast and surface rate retardation were explored. The correlation between the 2D aerial image and the 3D developed resist profile has been investigated. This includes applications to the printability of defects where the nonvertical resist dissolution effects play a strong role.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-quality imaging is essential for having the largest available process window for the various lithographic mask features, particularly those at the resolution limit of the lens. While lithographic lenses are designed to be 'diffraction limited,' shortcomings in the manufacturing process can introduce aberrations which affect imaging. The effects of such aberrations are explored via computer simulation, using exposure-defocus (E-D) diagrams and plots of linewidth versus focus for isolated and grouped lines. Data from a variety of lithographic lenses and the criteria for measuring lens performance are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A number of pre-distorted mask design techniques for binary and phase-shifting masks are proposed. This approach is based on modeling the imaging mechanism of a stepper by the Hopkins equations and taking advantage of the photoresist nonlinear characteristics. Optimization techniques such as the branch and bound algorithm and simulated annealing algorithm are used to systematically design pre-distorted masks under incoherent and partially coherent illumination. Computer simulations are used to show that contour shapes of our designed mask patterns are sharper than those of conventional masks. The designed phase- shifting masks are shown to result in higher contrast as well as sharper contours than binary masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
By means of simulation techniques using the SAMPLE and SPLAT programs the potential of self-aligned phase-shifting reticles for applications in microlithography is investigated and compared with conventional reticles and, to some extent, also with alternating and chromeless phase-shifter edge-line reticles. The analysis concentrates on partially coherent imaging ((sigma) equals0.5) of isolated spaces, line/space gratings and contact holes at k1 factors of 0.5 and 0.63, but wider and finer structures are also considered. The resist technique is found to have a considerable influence on the results. The work also includes a rough analysis of the necessary manufacturing tolerances of the phase reticles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Simulation has been used to systematically investigate the effects of phase-shifters on dark- field patterns (openings in a dark-field mask), and to determine the phase-shifter configurations that are most effective for different mask patterns. This study has resulted in a design methodology based on the distance between the centers of clear features and the surrounding phase-shifters. A key verification is that isolated phase-shifted patterns print best when the distance from the center of the phase-shifter to the center of the feature is approximately 0.7 (lambda) /NA. At this optimal spacing, the peak image intensity, image slope and resist wall-angle of the printed pattern is maximized. Optimally-aligned phase- shifters will also have the best focus-exposure behavior of all the different dark-field phase- shifter configurations. However, dark-field phase-shifters will only provide a resolution increase on the order of 0.05 0.10 (lambda) /NA. Different dark-field mask configurations will print with different amounts of bias; the amount of print bias is dependent on the width of the phase-shifters and the distance between the phase-shifters and the feature. Response curves of resist opening as a function of feature size and phase-shifter/feature separation can be used to keep track of the amount of bias required in a given phase-shifter configuration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A pulsed laser, a microscope, and a 35-mm camera are used to stroboscopically create and image interference fringes around surface features during spin coating. The measured film thickness profiles are compared to predictions from a lubrication model. This model has been extended to two dimensions so that the surface contours around features of any width and length may be calculated, and preliminary results are reported. Profile asymmetry, or 'pile- up,' is caused by surface tension forces near the edges of the feature that accelerate flow where the channel (the film thickness) narrows and retard flow where the film thickness widens.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper introduces an example for fabricating a phase-shifting mask with a self-aligned process. In this example, a step on the quartz substrate formed by dry etching as a shifter was used. Dry etching was also used for over-etching of chromium (Cr) to form the shifter region. The uniformity and controllability in these etching processes was evaluated. The result has proven the shifter depth accuracy of 15 nm (3(sigma) ) and the shifter width accuracy of 0.07 micrometers (3(sigma) ).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
i-line wafer steppers have become the tool of choice for submicron production of advanced integrated circuits. These tools are now being extended to provide the required resolution, linewidth control, and overlay performance for devices with 16 Mb packing densities. To achieve this a manufacturing environment, suitable control procedures should be designed to minimize process and equipment variations. The primary goal of this paper is to characterize and quantify the ability of current generation steppers to meet or exceed the 100 nm AA (single machine) and the 175 nm BC (stepper to any other stepper in a production area) overlay requirements for half-micron production. For this, an overlay experiment using one reference and 12 randomly selected steppers was performed. Two Point through the lens alignment was used to reference wafer to reticle. Stages with three interferometrically controlled axes having both standard and enhanced resolution were used in the experiment. Features to improve stage positioning and overlay accuracy are discussed. To generate the required data, accurately calibrated reference wafers are used. The results were compared with a metrology model, which was used to optimize the matching of stepper lens and stage grid distortions so that optimum matching performance is achieved. The results then clearly predict whether all steppers meet the stringent overlay requirements for half-micron lithography in a production environment. In addition, experimental results show half-micron resolution performance with a number of commercially available i-line photoresists. Lens performance as affected by a phase-shifted reticle tooling are also examined to determine its potential benefit to 0.5 micron and sub-0.5 micron production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A wide range of partial coherences is explored in order to clarify their real impact on lithographic latitude of different kinds of patterns. The effects of coherence variations on process characteristics are reported in terms of exposure latitude and focus budget. It is shown that the use of a particular coherence, different from the standard one, can practically benefit the latitude of a critical layer such as the contact mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Design and construction of a deep-UV projection lens for use in sub-micron excimer laser lithography is reported. Its spectral band is wide enough for an unnarrowed excimer laser used as an illumination source.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Numerical simulations of printing of a bar in photoresist over a MOSFET gate using positive and negative, i-line and deep-UV resists are presented. The masks were chosen to produce the same nominal structure. The resist process was simulated in three separate phases: exposure, post-exposure bake, and development. Three-dimensional relief images of the printed bar are given for these cases.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-shifted masks (PSMs) promise significant performance benefits for conventional optical lithography. By simultaneously enhancing resolution and depth of focus (DOF), some PSM techniques offer lithography improvements equivalent to more than a 30% reduction of exposure wavelength. Existing wafer exposure equipment can be adapted to PSM use without extensive modification. However, widespread use of PSM technology must await the creation of a PSM infrastructure, including automated generation of PSM patterns, new mask-making materials, and production worthy PSM manufacturing equipment and methods. Modified CAD software, phase layer mask exposure, phase layer deposition, etch, inspection, repair, and other supporting equipment are still in research or development phases. The integration of PSM methodologies and processes to mask and wafer production facilities has not yet begun. In this paper PSM manufacturing and application issues will be examined, with emphasis on PSM reticle printing, PSM reticle requirements and PSM manufacturing alternatives. The authors report on the performance of a scanned laser mask lithography system optimized for printing multilayer phase-shift masks. This system leverages the sub-half micron printing performance of the ATEQ CORE-2500 combined with an optical alignment system. The use of 363.8 nm exposure wavelength offers significant advantages for making PSMs. Chrome alignment marks under dielectric phase and resist layers are accurately and nondestructively acquired with a nonactinic illumination system. The exposure wavelength, near i-line, does not cause or react to dielectric substrate charge. Optimum performance is achieved with common i-line resists which also provide ideal process performance for phase layer deposition and dry etching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The MRS PanelPrinterTM can provide image field stitching, overlay, and matching performance suitable for fabrication of large area electronic devices in a multi-machine environment. The methods employed to measure these issues and techniques of stepper self- metrology are presented. Additionally provided are the lithographic results obtained when system parameters are optimized based on these methods.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The standing wave effect in positive photoresist has been found to be drastically reduced by using a thin coating of silicon nitride between the resist and the silicon dioxide film deposited on silicon substrate. The process modeling has been carried out by adopting a method which is a natural extension of ANKAN, a computer program written for the exposure and development of a positive photoresist. The actual calculations have been performed for a line image exposure of AZ1350 photoresist by using the matrix formulation and diffraction limited system. The simulation allows the computation of resist profiles under a variety of experimental conditions. The analysis may be useful for a better linewidth control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A consistent 0.75 micron lithography process was developed for implementation in an existing technology environment. Prolith/2 modeling parameters were experimentally measured and photoresist simulations were performed to gain confidence in the modeling program. Experimental results fulfilled a proposed set of production process requirements, and a consistent three-quarter-micron, g-line process can be implemented in the manufacturing environment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A series of experiments was run to determine the scope, magnitude and possible solution to the frequently observed proximity effect on CD uniformity, which has become an acute problem in submicron processing. The matrix of experiments compared linewidth control for lines that were in an isolated location versus lines in high-density locations. The effects of g- line versus i-line exposure, substrate type, softbake temperature, post-exposure bake temperature, developer strength, and develop time on linewidth control were measured for Dynachem's NovaTM 2050 and EL 2015. These two resists represent examples of conventional diazoquinone/novolac g-line and g/i-line resists, respectively. Additionally, the effects of actinic dyes on proximity effects were measured by evaluating the dyed versions of the aforementioned resists, NovaTM 2050 AR and EL 2015 0.5 AN. Actinic dyes were initially introduced to control antireflective notching on metal substrates, but have now been shown with this work to have an effect on the isolated versus dense line phenomenon. The matrix of experiments used was a full factorial statistical design. When the results were collated and analyzed by the software package RS/1, the relative effects of each parameter on CD control was assessed. The statistical data, graphs and predicted best processes for various resist/dye/substrate combinations are presented. This data provides general guidelines for the control of the proximity effect for 0.8 micrometers g-line and i-line processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A patterning process with a linewidth resolution of 0.4 micrometers using i-line lithography and liftoff technique is presented for the fabrication of surface acoustic wave components. Using two resists systems, the process is developed and optimized with regards to exposure latitude and high resolution. Furthermore, a method is shown to determine linewidth variations in the lithography process by frequency measurements of surface acoustic wave resonators at a wafer prober. Reproducibility, versatility and resolution limit of the process is demonstrated by several realized devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The implementation of statistical process control coupled with a failure mode evaluation analysis had failed to produce feature sizes consistently on the target of 5 micrometers . The process was in control but was not capable of delivering product consistently within specification. A Taguchi-type experiment was organized to maximize the process output. Several experiments were conducted varying just one factor at a time. This procedure identified (a) potential nonlinear response factors and (b) appropriate levels for the Taguchi experiment. Six important factors and one potential interaction (exposure and develop time) were identified. The L8 design of Taguchi's arrays was used and each experiment was repeated at both high and low humidities and different ambient temperatures. Significant factors and their appropriate levels were identified and the predicted response was 4.956 micrometers . The confirmatory runs averaged 5.005 microns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents the primary research mechanism of forming a photolithographic hologram (PLH), and emphatically points out that in the course of the etching process, the etch gases react with the photoresist (PR) and substrate under it simultaneously until the relief patterns on the PR have been transferred completely and PL comes out.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An 8 cm diameter disk-shaped oxygen plasma is used as a resist asher and resist developer located in a plasma-free region. The resist surface is exposed to both 130.6 nm flux (10-2W cm-2 Sr-1 oxygen resonance line) as well as an atomic oxygen flux (1015 atoms cm-2 sec-1). A high ashing rate of 1.5 micrometers /min is obtained at 100 degree(s)C, with a rather low apparent excitation energy of 1.07 kcal per mol. In contrast, by introducing 8 atomic % silicon into plasma polymerized styrene, the authors observed an unmeasurable etch rate after a brief induction time to form a Si-O-C containing a protective layer with a thickness loss of 0.2 micrometers . These results show a potential ability of the plasma apparatus used as a low-temperature defect-free resist ashing and dry development silylated resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a photolithography work cell approach and multiprobe yield results of this cell in a production environment. Important items for design of such lithographic cluster tools are discussed. The cell concept has been proven to accomplish many of the fundamental requirements for cluster processing and has been shown to provide many of the expected advantages. Texas Instrument's experience with this cell concept showed monthly multiprobe yield improvements of 1-10% with an average yield improvement of 4.3%. From a Pareto analysis, it can be seen that the major contribution to the multiprobe yield improvement was a decrease in particulates with under-etch improvements also a major contributor. These improvements were probably due to both resist and develop uniformity improvements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Bake conditions for three commercially available positive photoresists have been varied to investigate their effects on commonly monitored photolithography responses, including critical dimension uniformity, exposure latitude, and contrast. The structure of the photoresists varied from ones with photoactive compounds wholly bonded to the resin to one whose photoactive compound is free in solution. Both pre- and post-exposure bake conditions were varied, and effects of minimum versus maximum thin film coupling conditions were studied. Of particular interest is the control and modification of the spatial distribution of the photoactive compound and associated standing waves in the developed profiles by use of bake conditions. To quantify the true impact of standing waves on process control, data of CD distributions as a function of resist thickness for the various sensitizer systems is presented. Standard, vendor recommended processes are compared with altered bake processes that yield visible reduction in the amplitude of the standing wave. Further data on exposure latitude at minimum and maximum film coupling are provided. To ensure sufficient accuracy, all CD measurements are made by Prometrix EM-1 electrical linewidth measurements of etched polysilicon. A standard, no post- exposure bake process with standing waves in the profile yields a maximum to minimum CD delta of 0.082 microns, which compares with a standard post-exposure bake process with no standing waves having the same CD delta of 0.074 microns. The use of a post-exposure bake in the former case, including aggressive temperatures up to 120 degree(s)C, worsens the CD delta to 0.15 microns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lines with steep sidewalls formed in high contrast photoresist with low absorption tend to hide the character of the dose distribution that formed them. For highly absorbing resists, the depth removed during development is directly proportional to Log(dose) and therefore gives a continuous tone record of the exposure dose distribution. Many photoresists useful at 436 nm with low absorption coefficients become highly absorptive at 248 nm and no longer produce sharp profiles. This continuous record of the dose distribution is used to measure uniformity of dose within a field, stability of dose control from exposure to exposure, dose unit matching, and the recording of high resolution dose profiles. Application of continuous tone photoresist to deep-UV lithography tool diagnostics is presented along with a model describing performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Since 1987 commercial line narrowed 248 nm excimer lasers have been used with R&D DUV steppers. Several resonator concepts were employed to match linewidth power and lifetime needs for a DUV microlithography laser light source. The physics of different line narrowing resonators like prisms, grating, etalons and combinations are described and experimental lifetime and power capabilities reviewed. The directions of DUV-laser light source development are discussed in regard to 193 and 157 nm lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The spectral characteristics of an ELS-4000 excimer laser operating at 193 nm and employing two different line-narrowing schemes have been studied. Partial bandwidth reduction (72 pm FWHM) was achieved using a single dispersive prism. The laser generated an average output power of 4 W, making it a useful source of VUV radiation for broad-band 193 nm lithography. Narrow-band operation (5.5 pm FWHM) was attained using a prism-grating combination. The maximum narrow-band energy was 6 mJ; however, the average power was low (0.6 W). Improvements in the gain generator and optics will be needed to extract higher power levels for narrow-band lithographic applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The conventional line-narrowing methods employed by narrow-band KrF excimer lasers are (1) intracavity etalons and (2) a Littrow grating with beam expansion. They have the following problems in high average power operation: (a) short lifetime of the etalons and large wavelength drift and large line-width change (the etalons method), or (b) low output power and short lifetime of electrodes (the grating method). To solve there problems, a hybrid method consisting of two prism beam expanders, an etalon and a Littrow grating, it proposed. These prism beam expanders decrease the light intensity on the etalon, so that the lifetime of the etalon is increased drastically, the passive wavelength drift is minimized, and the wavelength is adjusted quickly. By employing this system, average power of more than 8 W, line-width of less than 2.5 pm(FWHM), and long-term wavelength stability of less than +-0.5 pm were achieved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep UV exposures utilizing wavelengths from 240-254 nm have been investigated for 0.5 micron lithography on a 0.35 NA step-and-scan exposure tool, the SVG Lithography Systems Inc. Microscan-90. Commercially available Shipley SNR-248 3X negative resist was characterized with statistically designed experiments, and the optimized single-level resist process was used for tool characterization at and below 0.5 micron. Examples of a new developmental positive resist are also shown. The effect of reflectivity variations with broad- band exposures are modeled and compared with the experimental results. Resolution and depth of focus (DOF) for both 0.4 and 0.5 micron features were studied over the full field. Results for contact holes over topography and critical dimension (CD) variation with DOF and exposure are presented. The tool focus control, stage precision, throughput, overlay capability on oxide and poly wafers, and particulate contamination studies are reported. Process latitude is evaluated. The tool and process compatibility for sub-half micron applications is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new projection lithography system is described for patterning ultra-high-density semiconductor integrated circuits. The system provides both the fine resolution and the large field size necessary for imaging the chips of the future device generations--64 Mb, 256 Mb, and beyond. The combined capabilities of high resolution and large image field are achieved by a novel scan-and-repeat exposure mechanism. Exposure of image fields as large as several inches across, while maintaining sub-half-micron resolution, is made possible by a 'seamless' scanning technique. Moreover, the above performance is achieved with today's state-of-the-art reduction lenses, thus overcoming a formidable limitation in the advancement of current optical lithography tools. The system described here can be configured with both an excimer laser source and a non-laser (lamp) source. As an example, a machine design is presented that uses a deep-ultraviolet excimer laser source, achieves the resolution and field-size performance described above, and delivers a wafer exposure throughput in the vicinity of 100 (150 mm) or 75 (200 mm) wafers/hour. These throughputs are not only several times greater than the throughput available with any other optical lithography tool. In addition to high- resolution semiconductor chip lithography, this scan-and-repeat concept is also attractive in a variety of other applications, such as flat-panel displays and electronic packaging, where seamless exposure of large areas not limited by the constraints of optical imaging systems is desirable.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new type of spot scanning exposure system has been developed for an excimer laser stepper. To optimize exposure parameters, the authors developed a SIPSE (Simulator for Spot Scanning Exposure) and performed experiments with a He-Cd laser and a g-line lens. Applying the results, a prototype of an excimer laser exposure system was developed. A lens evaluation system has also been developed to adjust the lens elements in the projection lens. Using these systems, 0.35 micron line and space patterns were clearly resolved. SIPSE will be usable to optimize a phase-shifting exposure system with a potential for 0.25 micron patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This family of optical systems is developed from the Wynne-Dyson system, which can be used in UV and deep-UV lithography systems. Its numerical aperture is larger than 0.4, its spectral bandwidth is wide, so the unnarrowed excimer laser or mercury lamp can be used as an illuminator in these lenses and even the through-lens alignment system can be used in the lithography systems in which these lenses are used.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the last few years, i-line lithography has made remarkable progress. It is based on the development of high-contrast i-line resists and of high-NA lenses owing to improvement of the transmittance of glass for i-line. It is generally assumed that i-line steppers will play a major role in the production of 16 Mbit DRAMs. For half-micron production, CANON has developed a new i-line lens with a high NA of 0.52 and a wide image field 28.28 mm in diameter. This paper first reports on the image performance of this lens for half-micron, and then describes the distortion characteristics and magnification stability of the lens. In the last section, the possibility of i-line lithography of sub-half-micron referring to the experimental results on 0.4 micrometers L/S applications are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
i-line wafer steppers are evolving as established production tools, and it is evident that they will be used to realize features in the sub-half-micron region. Consequently, i-line steppers can be expected to be the equipment of choice for volume production of 16 MBit DRAMs and possibly the first generation of 64 Mbit devices, before the introduction of DUV lithography. However, for this sub-half-micron resolution, lenses with higher apertures and large field sizes will be required. In this paper a new family of wafer steppers is introduced, with a new mechanical frame design and modular architecture which can accommodate a family of large field i-line and deep UV lenses. Results from the first lens type with NAequals0.54 and a field diameter of 25.5 mm are described. To overcome the anticipated depth of focus problems on production wafers, a field-by-field leveling system is introduced, ensuring optimum focus over the whole image field. A challenging problem of wafer steppers using this option is the alignment accuracy during stepping of stage and active leveling of the wafer chuck. The stepper concept introduced here is able to realize the field-by-field leveling without the need for the throughput consuming field-by-field alignment. For that purpose a wafer stage with a new metrology system and improved accuracy has been designed, resulting in an overlay accuracy better than 85 nm in the global alignment mode. Simultaneously a throughput of more than 80 150 mm wafers per hour is realized. Along with the new lens and metrology concept, the stepper contains local environmental control systems performing better than class 1, to ensure clean handling of 8-inch wafers without the need for space consuming environmental enclosures. This paper reports practical results from the new stepper, including resist features below 0.4 micrometers , overlay measurements, particle control, as well as a general description of the new stepper architecture.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new line of optical wafer steppers is discussed. These tools, which have been developed in conjunction with Sematech and its member companies, feature new high-numerical aperture, widefield reduction lenses for operation at either i-line (365nm) or deep-UV (248nm) wavelengths. The i-line tool achieves practical resolution at the 0.5Oitm level with usable working focal depth, while the deep-UV tool is capable ofpractical resolution at the 0.35im level with usable working focal depth. The design of these tools incorporates and expands upon optical wafer stepper technologies which have been fieldproven, particularly in the areas of alignment, focusing, INSITtJ" metrology, automatic calibration, and diagnostic utilities. New features added to these tools, to support their application at or below 0.50j.tm, include a new system structure designed for inherent stability to maintain tight coupling among the imaging and alignment subsystems, and wafer stage advancements to achieve increased positioning accuracy, which supports obtaining overall tool overlay accuracy commensurate with sub-half-micron resolution. Of particular significance is the incorporation of a field-by-field leveling system, which optimizes the usable depth of focus over large image fields on product wafers. The tools also include an entirely new control system, which has been designed based on a new hierarchical control architecture, and incorporates digital servo controls and automated diagnostics. The control interface is designed as an intuitive graphical touch screen display, providing simplicity to the operator and significant job process flexibility, compatible with advanced memory and Application Specific Integrated Circuits (ASIC) fab operations. Design considerations for these tools are described together with performance results obtained in the field.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Modern wafer stepper generations are capable of realizing
higher circuit levels due to high-performance lenses in the WV
region, but at the same time there is also the necessity of
designing assembly groups of the wafer stepper so that they meet
the requirements of a wide assortment range in modem device
fabrication.
Long years of practical experience in the use of steppers enabled
Jenoptik to implement an new generation of wafer steppers, in which
particular value was placed on the instrumental improvement of the
paramemters:
- internal thermostatting/minimum particle contamination
- alignment accuracy
- useful depth of focus.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A laser reticle writer for 5X reticle production using acousto-optical deflection, Micronic LRS-18, is described and discussed. Polygon scanners have higher ultimate through-put, but an acousto-optical scanner is faster at short scans. Using a short scan simplifies the optics and reduces stripe butting errors. Sub-pixel addressing is done in a single pass with no loss of writing speed. The stage has a fast return stroke and vibration-free movement. The beam position is updated at the start of every scan cycle, i.e., every 20 microsecond(s) , by inertia-free servos, and the influence of mechanical vibrations is highly suppressed. A quartz plate with a known pattern is used for calibration. Laser scanners for R&D and large-area masks are in development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The high numerical aperture (NA) lenses which are required to produce today's geometries place stringent demands on focus quality. When high NA is coupled with wide image fields, high quality field-by-field leveling is also required. This paper reports focus and leveling performance of a g-line system with 0.55 NA and 20 mm field. Its performance in the areas of focus repeatability and global and field-by-field leveling are reviewed both in terms of image quality and throughput achieved. Factors affecting the useable depth of focus (DOF) at 0.6 micrometers feature sizes, including thin film stack, resist type, resist thickness and field flatness will be discussed. Finally, two models describing the dependance of DOF with linewidth are compared with actual data from several aligners, including this one. It will be shown that a model depending only on exposure wavelength accurately fits the experimental data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Patterns of electrically conducting polymers (100 S cm-1) can be obtained by irradiating thin layers of insulating poly (bis-ethylthio-acetylene) (10-14 S cm-1) with 488 nm Ar+-laser or 351 nm XeF-excimer laser radiation. On the other hand this polymer is a suitable material for laser-induced ablation (photodecomposition), whereby this excimer laser patterned insulating polymer can be made conductive by another Ar+-laser afterwards. The conducting tracks have a stable resistivity both in air (without encapsulation) and in moisture at various temperatures and even in corrosive atmospheres. With these techniques, using a computer-controlled linear positioning system, printed microcircuit boards with integrated passive electronic components can be obtained. Surface mounted devices may be integrated into such circuitry using conductive adhesives.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper introduces the novel concepts of 'multistage phase shifter' and 'comb-shaped shifter' for resolving the problems of a transparent type phase shifting mask. The use of a multistage shifter decreases the light intensity dip at the shifter edges. The use of the comb- shaped shifter enables control of the pattern width. The effectiveness of a multistage shifter and a comb-shaped shifter were demonstrated by experiments and simulations. These technologies make it possible to fabricate a wide range of patterns for VLSI using the transparent phase shifting mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
On a phase-shifting mask, an etch-stop layer is a good way to repair the phase-shifter's defects without causing any phase error. The authors propose using Al2O2 for the etch-stop layer. Al2O3 was deposited by oxygen ion-beam assisted evaporation. The evaporated Al2O3 film showed several properties which are indispensable for an etch-stop layer: the high transparency at i-line and KrF wavelengths, and an etching selectivity of 270 for a SiO2 phase-shifting film. The effects of an etch-stop layer on the optical properties of a phase-shifting mask were also studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Workshop and Panel Discussion Summary: Phase-Shift Mask Technology
The feasibility and potential of Phase-Shift Mask (PSM) for sub- 0.5 urn fabrication
have been clearly demonstrated. In the '91 SPIE Microlithography Syrnposium, a total of
18 papers on PSM were presented. Many new, innovative designs have been proposed.
However, there is still a myriad of technical and logistic problems, which must be
resolved for production implementation. We organized the workshop and panel
discussion in the hope that the participants would collectively make an assessment of the
maturity of the technology and reach a common understanding of the key issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.