PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Optical lithography at the limit of resolution is a highly non-linear pattern transfer process. One consequence of this is an apparent magnification of mask errors. The paper first demonstrates early experimental evidence of this effect. Then it assesses the influence of pattern geometry, of the lithography tool setup, and of different optical enhancement techniques on the MEEF using primarily simulation data. The correspondence of MEEF as an effect of mask linewidth variations to the increased printability of mask defects is illustrated. Strategies to minimize the MEEF--like alternating phase shift masks--are presented. Because the MEEF describes conveniently the concerted action of all components on the whole lithographic pattern transfer process, it is proposed to use the MEEF as a new yardstick to characterize the degree of difficulty of a given lithographic process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will start with an overview of the different defect types which can occur on alternating phase shifting masks. A test mask with programmed defects of these different types was fabricated. The defect printability was investigated using an AIMS system. These results were correlated to first printing results in the wafer-fab. The results give an overview of the requirements for an inspection and repair system for alternating phase shifting masks. In order to get a better understanding of this printability behavior first simulations of defects using a 3D mask simulation tool were carried out and compared to the measurements. Several examples of quartz-repairs with different qualities are presented together with the influence on the aerial image.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, we used production reticles and a new programmed defect test mask to characterize the sensitivity and false defect performance of several algorithms (ALM100, ALM200 and ALM300). ALM300's sensitivity spec is 60 nm. The inspection results were transferred to a CD SEM for analysis. SEM measurements were taken to validate the sensitivity of the algorithm and to quantify the calibration accuracy of the review tools of the inspection system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photronics and KLA-Tencor are jointly examining several aspects of reticle blank inspection. PBS blank quality has been examined using the KLA-Tensor STARlight reticle inspection system. PBS blanks were inspected using a 500-nm pixel with the highest sensitivity settings. Data from the initial phases of this study show conclusively that blank defects with certain characteristics can, with high probability, `transfer' to the finished reticle. These conclusions were drawn from a systematic study of several test samples containing 452 blank defects. These defects were classified using three different characteristics and correlation studies were completed to determine which factors most significantly influence transfer rates. This study has now been expanded to include production reticles. The results are being used to develop a comprehensive blank inspection protocol in a commercial mask production facility. We report on all phases of the project including the trial program.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, After Develop Inspection was used to inspect Cr photomasks incorporating iP3600 and ZEP7000 resists at several thicknesses. The detected defects were analyzed and compared to defects found after etch. A test mask with programmed defects was also created and tested to characterize the sensitivity of this new capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As DUV lithography becomes more ubiquitous in the manufacture of semiconductors, the importance of detecting mask anomalies that can be attributed to the exposure of mask materials to 248 nm exposure becomes necessary. The requirement to find and eliminate the sources of these types of defects becomes even more important with low kl lithography. The authors wish to report a new class of defects that can significantly impact mask performance and semiconductor chip manufacturing yields. This paper will discuss the techniques and defect detection systems used to identify the presence of these sub-pellicle (or pellicle- related) defects. Additionally, the mechanism of defect formation and micro-analytical results identifying both the composition and possible sources of the defects will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of programmed defect test reticles to characterize automatic defect inspection equipment has long been an established practice in the maskmaking industry. Measurement of the defect sizes on these programmed defect test masks is not necessary if one only desires to qualitatively investigate differences in system performance. However, more meaningful comparisons in inspection system performance require a calibrated programmed defect test mask. Historically, commercially available programmed defect test reticles have not had traceable or well-documented defect sizing methods nor was information regarding the precision of these measurements provided. This paper describes the methods used and results obtained from the work performed to address these issues. Using a low voltage scanning electron microscope as an image acquisition system, defect sizing is accomplished using automated pattern recognition software. The software reports defect size metrics such as maximum inscribed circle diameter and area. Measurement precision better than 30 nm has been demonstrated for the maximum inscribed circle method. The correlation of SEM based measurements to historical optical metrology measurements ia also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion Projection Lithography is one of the major competitors for sub 100 nm-lithography. Within the MEDEA ion projection lithography project and other activities related to it, new results in mask and tool technology have been obtained. The exposure tool is in process of being assembled, so that information of the components as the multi-cusp ion source can be given. Results from the field-composable lens electrode manufacturing and of the off-axis alignment system are to be presented. Mask process technology has been improved by introduction of a multi-step trench etch technique. A stencil mask based on a 200 mm wafer has been produced. In addition, the repeatability values of placement and CD measurements have been decreased. Defect inspection with optical KLA tool results give information on the current limits for stencil mask applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Hardmask-less stencil mask making requires masks with a high aspect ratio. The bilayer CARL (chemical amplification of resist lines) process was evaluated and optimized with respect of generating irregular resist features below 180 nm in a film thickness of 750 nm. Especially the dry development was detailed investigated using statistical design and analysis of experiment. Processed CARL resist masks are compared with Top Surface Imaging results. Finally, results of a deep silicon etching process using the CARL resist masks are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Liquid film enhanced LF laser cleaning with XeCl- and KrF- excimer lasers was demonstrated to remove sub-micrometer SiO2, and Al2O3 particles from silicon wafer surfaces, and from silicon membrane stencil masks, the threshold fluences for LF laser cleaning were determined. The importance of controlled liquid film deposition for efficient cleaning is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion Projection Lithography is one promising candidate for a next generation IC technology. Within this field of research one of the most critical aspects is the development of open stencil masks. The stress formation during the mask fabrication process affects the critical dimensions of the structures to be formed. The stress measurement in the mask blanks is performed by the well known bulging method. The accuracy of the membrane bulging method depends on the correct description of the bulged membrane shape. The accuracy of the method can be improved by including the deviations from spherical approximation by correcting the membrane bulging formula. Additionally, the shape of the membrane deformation and the improved bulging formula are compared with FE simulations. The commonly used interferometer technique can not be used to determine the absolute zero point of the membrane (e.g. plane membrane). With the diffraction image technique the zero point is determined by the geometry. Additionally, by bulging of the membrane in two directions, the zero point can be deduced from the anti-symmetry of the pressure vs. deflection curve.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several post-optical lithography technologies are under development. Ion proj ecti on lithography (IPL) is one choice. For the industrial usage of the ion projection lithography a powerful software tool for layout post processing is necessary to achieve the required (TI) uniformity and pattern fidelity. The application of stencil masks in the IPL exposure step requires the concept of complementary masks. The IPL software has to provide the mask pattern split and the complementary mask layout as well as a mask pattern pre-distortion in order to compensate ion optical and membrane stress induced pattern distortions. The IPL specific pattern transfer process shows fig. I. The goal of this figure is to achieve a correspondence between chip layout on design level and wafer layout.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The embedded attenuated phase-shift mask (EAPSM) has been in practical use for i-line and deep UV lithography. In 193 nm lithography, too, the EAPSM is considered to be a promising resolution enhancement technique for its simple structure and fabrication process required. We at HOYA have attempted to extend the applicability of MoSi-based EAPSM blanks to 193 nm lithography, helping extend the life of the existing infrastructure for conventional EAPSM fabrication. We have completed tuning our new MoSi-based film for 193 nm lithography and characterized its optical properties, chemical durability, ArF laser exposure durability and mask- making process compatibility.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Appearance of e-beam exposure tools with a high acceleration voltage has created a growing need for a chemically amplified resist (CAR) system with high sensitivity, high contrast, superior resolution and superior post-coating delay stability. While mask-makers have been procuring resist-coated photomask blanks, it is generally considered that CARs must be spin-coated just prior to exposure due to its short shelf-life after coating. However, the industry would like to continue procuring pre-CAR-coated blanks from a blanks supplier, who also intends to support mask-makers in this way. This paper describes the results of a feasibility study on mask blanks coated with CARs for advanced e-beam reticle fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The reduction of the wave length in the optical lithography in combination with mask enhancement techniques like phase shift pattern, optical proximity correction (OPC) or off- axis illumination requires a rapid increase in measurement accuracy and cost effective qualification of advanced photo masks. The knowledge about the impact of CD deviations, loss of pattern fidelity--especially of OPC structures--and mask defects on wafer level in more and more essential for mask qualification.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The end of the millennium has seen the IC industry make the transition to the sub-wavelength arena. All indications are that the sub-wavelength environment is here to stay until the next generation lithography becomes available (see figure ).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reticle imaging and metrology are becoming increasingly difficult as reticle features decrease in size. This paper describes some early results of top down CD-SEM reticle imaging and metrology carried out in association with the DUV and 193 nm lithography programs at IMEC. Images of reticle features and some corresponding printed wafer patterns are presented and CD-SEM and optical measurement techniques are compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With continuously shrinking design rules enhanced techniques are required in mask manufacture which requires more sophisticated procedures for their characterization. As Phase Shift Masks (PSM) are of growing importance a new CD algorithm had to be developed to achieve the same or even higher level of CD accuracy and repeatability as on chrome masks. Major improvements in measurement performance on attenuated PSM have been achieved resulting from improving the PSM CD algorithm based on the experiences reported earlier. With shrinking feature sizes and masks layouts with denser patterns the quantification of corner rounding effects on contacts and line ends is of growing importance. Based on the algorithm developed for the effect of corner rounding on line end shortening a measurement procedure has been developed for contact holes. Measurement results have been shown. To further improving CD measurement automation and to enable easy measurement job modifications a highly flexible device has been developed to import measurement parameters into a macro template.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Understanding how optical proximity effects (OPE) influence critical dimension (CD) measurements of photomasks and wafers in semiconductor manufacturing has been a subject of intense interest and investigation for many years. OPE, caused by the convolution of the intensity profiles of adjacent lines, introduces errors in the determination of the line edge position, and in turn the linewidth. This paper models several imaging systems using the Optical Transfer Function analysis method and discusses some results from an ongoing study to devise methods for calibrating CD mask metrology tools, and evaluates several different imaging objects and line measurement algorithms as to their sensitivity to the influences of OPE in the measurement of binary masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the optimization of the key parameters (exposure and development) needed to reach CD uniformity below 20 nm necessary for 150 nm generation devices. The three factors (exposure dose, spot size and development time) were investigated by design of experiment (DOE). ZEP 7000 is an e-beam dry-etchable resist which requires higher dose than PBS and EBR-9 HS-31 usual e-beam resists. Therefore the exposure was made on a MEBES 4500 system combined with multipass gray writing strategy. A puddle development was done on a STEAG ASE500 tool. CD measurements have been done after development on LEICA IPRO system using reflective light in order to eliminate the error induced by etching. The DOE results have been interpreted separately on the X and Y axis. The results of the DOE have been verified by measuring the edge resist slope with a scanning electron microscope and by measuring chrome CD uniformity after dry etching and stripping.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In general the writing strategies of the Leica ZBA 320 tool are presented to the audience. Methods to achieve a high productivity in writing masks of the next generation are highlighted. Thus, such writing modes like variable-shaped beam using 6 shape types, vector scan and `writing-on-the- fly' are explained in their inter-action. Our strategies to constantly improve the accuracy parameters, such like n-pass writing and soft boundaries are not only described but also illustrated by our latest application results. Finally data processing by hierarchical data structures as the main factor to support the above-mentioned writing strategies is outlined in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper a new approach of concurrent CD-uniformity monitoring is presented. This is achieved by using the Linewidth Bias Monitoring (LBM) tool, which utilizes data collected during the reticle inspection to concurrently generate real-time line width monitoring with superb precision without affecting inspection time or results. The capabilities of the LBM are presented by a specially designed test-plate, establishing the tool precision and repeatability. Analysis of case studies based on various production plates demonstrates the contribution to process control and mask fidelity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Inspection is one of the major challenges in mask making, as it is one of its most performance crucial steps in the entire mask making process. Especially contact patterns show difficulties in die-to-database inspection as the CAD data asks for square corners. The paper presents the impact of Laser Proximity Correction (LPC) on the inspectability of contact and line patterns. LPC is a mask enhancement technique improving image quality and CD linearity for laser pattern generators. The use of the linewidth bias monitor tool in order to characterize CD uniformity over the entire plate is demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Jan M. Chabala, Damon M. Cole, Henry Thomas Pearce-Percy, Wayne Phillips, Maiying Lu, Suzanne Weaver, David W. Alexander, T. Coleman, Charles A. Sauer, et al.
Proceedings Volume 16th European Conference on Mask Technology for Integrated Circuits and Microcomponents, (2000) https://doi.org/10.1117/12.377110
As optical lithography is extended to the 130 nm generation and beyond, demanding requirements are placed on mask pattern generators to produce quartz substrate masks. This paper reports on the lithography and critical dimension (CD) performance of the MEBES 5500 mask pattern generator. Compared to previous MEBES tools, this system employs a new high-dose electron gun and column design. We summarize experiments relating lithographic quality to increased dose and the effects of spot size on lithography. Methods to reduce beam-induced pattern placement errors are reviewed. A new graybeam writing strategy, Multipass Gray-II, is described in detail. This strategy creates eight dosed gray levels and provides increased writing throughput (up to 8X compared to single-pass printing) without loss of lithographic quality. These experiments are performed with ZEP 7000 resist and dry etch process; improvements in CD control have been achieved by optimizing the process. A consequence of the improvement in CD control and throughput is improved productivity in generating 180 nm devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 1999 SIA roadmap predicts a severe acceleration of the reduction of feature sizes down to 100 nm in 2003 and further down to 70 nm in 2005, respectively. One of the most promising candidates to achieve this demanding goal is the 157 nm optical microlithography. But today there are still many uncertainties whether this technology will be ready in time for the semiconductor industry. The material for the mask substrates, for example is regarded as one of the potential showstoppers for this generation of optical microlithography. For present generations of optical microlithography (i-line, DUV and 193 nm) fused silica is the material of choice for mask substrates. Its superior mechanical, thermal and optical properties make it an ideal substrate material.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we discuss advantages and disadvantages of super-thin resist coatings for both e-beam and laser applications. First, we verify advantages of super-thin ZEP7000, particularly evaluating the hole-pattern linearity. Second, we discuss disadvantages of super-thin ZEP7000, including pinhole defect risks. Finally, we examine advantages and disadvantages of super-thin laser resist coatings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep X-ray lithography with synchrotron radiation represents the primary process step of the LIGA technique, by means of which high volume production of micro-mechanical, micro- optical and micro-fluidic components becomes possible. We report on a new approach where the direct patterning of an intermediate mask has been performed by an upgraded Leica ZBA23 shaped beam electron writer with an acceleration voltage of 40 kV. Optimized development and exposure processes as well as the use of particularly performed proximity correction methods allowed to product feature sizes down to 0.4 micrometers . Taking CD-values of the final gold absorber structure as a target, an optimized parameter set has been found to manufacture periodic lines-and-spaces structures of 1.5 micrometers width with an accuracy of 0.18 micrometers per edge which were written into 2 micrometers thick PMMA resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have studied anti-sticking layers for nanoimprint lithography using different types of polymers, thermoplastic and thermosetting ones. Typically thermosetting polymers have higher mask selectivity in a fluorocarbon dry etch process than the thermoplastic polymers, but replication into these materials is much more complicated. We observe a high tendency for the polymer to adhere to the stamp. To minimize the sticking problem a fluorocarbon coating of the stamp was tested. It was deposited in a radio frequency plasma reactor with C4F8 as a feed gas. The thickness of the coating was several nm. It was characterized by contact angle measurement, XPS and FTIR analysis. Such coatings could successfully reduce sticking effects during an imprint process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.