Background: Metal-containing resists entered the mainstream semiconductor industry process flow to mitigate the low absorbance of extreme ultraviolet (EUV) radiation by thin films of organic resists that lead to poor sensitivity and their inability to handle rigors of development and etching conditions. Aim: The long and rich history of using metal-containing resists in electron beam lithography can offer interesting lessons, pointers, and insights to the relatively newcomer EUV lithography, which is slightly over a decade old. Approach: Electron beam lithography has been enjoying a considerable amount of freedom in the choice of resist materials for close to 50 years; especially the use of metal-containing resists to attain not only single digit nanometer resolution, higher sensitivity, and etch resistance but also lower line-edge roughness. Here, we make a comprehensive historical review of the progress made in the patterning of metal-containing resists in electron beam lithography and derive insights that can be potentially useful in EUV patterning. Perspectives: Small molecular weight resists are proven to be crucial for achieving higher resolution with low line-edge roughness. Simplifying process flow by reducing etch-stack-layers is conceivable with metal-containing resists, along with direct-patterning of functional materials for heterogeneous integration. Efficient contact hole patterning at tighter pitches may be incumbent on progress in positive-tone resist research. |
1.IntroductionPhotolithography has been the torchbearer of fabricating devices in a micro- and nanometer regime in the semiconductor industry. It uses a resist, a photosensitive polymeric material, for imaging and pattern transfer to a substrate either via the process of plasma etching or by lift-off after metal (oxide) deposition. Since 1960s, the technological progress in photolithography, usually “defined” in terms of the Moore’s Law, has been uninterrupted, and relentless in pursuing miniaturization of critical device dimensions. The pursuit of ever-shrinking critical dimensions from a few microns in 1960s to in recent times has been made possible by adopting increasingly shorter wavelength imaging radiation (193 nm since early 2000s) coupled with some technological ingenuity in the last decade such as immersion lithography and multiple exposure patterning. In other words, the resolving potential of 193 nm immersion lithography tool has remained almost constant over the years and further shrinking of critical dimensions has been enabled by subsequent processing, which does not come without enormous design complexity and throughput bottleneck. Achievement of higher resolution from a simpler direct photoexposure comes at a large increase in capital cost as it needs shorter wavelength imaging radiation and a suitable resist to take its advantage. These are the two key technologies that are going to power the engine of next-generation semiconductor high volume manufacturing. In recent years, extreme ultraviolet (EUV) technology (13.5 nm wavelength) has emerged as the strong alternative to ArF immersion lithography for high volume manufacturing.1,2 Taking advantage of the EUV light source, which suffers from limited power, requires development of resist materials that possess high sensitivity at this wavelength, capable of high resolution, exhibit low line edge roughness (LER), and at the same time preserving the pattern fidelity and uniformity. Chemically amplified resists (CAR), which are primarily organic, have served very well in 248- and 193-nm lithography but are very transparent in EUV wavelength. This represents a major problem as it leads to the reduction of sensitivity of these resists. Exploiting several strategies such as increasing the quantum yield via improving acid generation efficiency, perfluorinated photo-acid generators (PAGs), acid amplifiers, photosensitizer along with limiting the acid diffusion by polymer-bound PAGs, and photo-decomposable base quencher, CARs have been able to meet the current 0.33NA EUV lithography requirements.3–5 However, the dilemma of resist thickness for sufficient absorption versus pattern collapse at high aspect ratio and LER originating from compositional inhomogeneity remains a challenge, and it is only likely to become more stringent with the move toward 0.55NA capabilities. On the other hand, incorporation of certain metals in thin resists leads to high EUV absorbance resulting in improved sensitivity, mitigation of photon-shot-noise effects, and at the same time serve as a durable etch mask. Furthermore, thin resist films also reduce the capillary force-induced resist collapse during development that is common in CAR systems patterned at higher resolution. For these reasons, new resists containing atoms that show increased absorbance at the EUV wavelength have been put forth as a substitute for CARs. In recent years, several reviews have appeared in the literature that discuss the evolutionary progress in resist development in EUV lithography with emphasis on metal-containing resists due to their stated advantages.6–13 Unlike at the previously used photolithography wavelength of 193 nm (, lower than the ionization energy of the resists ), where the absorbed radiation can only excite bound states of the chemical bonds, EUV radiation (92 eV) is readily absorbed by the deep valence or shallow–core electrons leading to ionization of the resist and open up a cascade of new reaction pathways.14 As these semicore excitations are often localized to the resist atoms, it is easy to fathom that inclusion of inorganic/metallic atoms into the resist composition can improve their absorption of EUV radiation; however, that is only half the story. The secondary electrons generated by these atoms, after absorbing the radiation, are in fact the ones driving the necessary solubility change to produce patterned features.15 It may be less than optimal to simply focus on the former without understanding of the latter.16 As such, a retrospective look at the studies focused on metal-containing resists used for electron beam lithography is warranted. Metal-containing resists are relatively new entrants in EUV patterning; however, their use in electron beam lithography has close to a 50-year long history. The availability of intense and small electron probes in the latter half of twentieth century in Vacuum Generators HB5/501HB dedicated scanning transmission electron microscope (VG-STEM) and field emission gun-equipped scanning electron microscope (FEG-SEM) enabled the rise of patterning of nanoscale features directly in a film of ionic materials of low molecular weight to study the ultimate limits of nanofabrication. In late 1990s, a breakthrough in spin-coatable metal oxide resist whose electron beam sensitivity was times higher than ionic materials—a paradigm shift—that enabled patterning of metal oxides at a single digit nanometer scale on silicon substrates using commercial electron beam writers. Subsequent period demonstrated great freedom in the use of various metals with different moieties leading to direct writing of functional materials. In this paper, we give a comprehensive historical review of the use of metal-containing resists in electron beam lithography and discuss how they directly or indirectly influenced EUV lithography. Furthermore, we believe that the former technique has lessons to offer the latter when it comes to attaining very high resolution using low molecular weight resists, simplification of lithographic process, direct writing of a host of functional materials, and obtaining low LER. 2.Metal-Containing Resists in Electron Beam Lithography: An OverviewThe identification of poly(methyl methacrylate), PMMA, as a high-resolution electron resist in 1968,17 and its subsequent use to produce the first operational electronic devices in 1970 via the lift-off step was a watershed in the history of lithography.18 Operational devices way beyond the capability of photolithography were shown to be possible. The prefix of “nano” to lithography was attached for the first time when Broers demonstrated 8-nm Au-Pd lines using a contamination resist19 and showed 25-nm lines with PMMA.20 These developments spurred the quest for improving the patterning resolution below 10 nm using metal-containing resists of low molecular weight of daltons to which we will turn our attention to. 2.1.Inorganic Resists Based on Metal Halides and Metal Oxides2.1.1.Self-developing metal halide resistsIn 1978, Broers et al. demonstrated holes of 5 nm in diameter in a 250-nm-thick NaCl film using a focused electron probe in an STEM equipped with a filament.21 The formation of holes was attributed to sublimation of material, and it required an exposure dose of . In 1981, using an intense 0.5 nm probe in a VG HB5 STEM, Isaacson and Muray studied the drilling mechanism in thinner NaCl crystalline films evaporated on carbon support grids.22 They were able to drill holes of 2 nm diameter and fabricate troughs that were 1.5 nm wide. Although impressive, these were not permanent structures due to the attack of NaCl by atmospheric water vapor. However, this study stimulated research in testing many metal fluorides as high-resolution electron beam resists. In an 80-nm-thick film of , Muray et al. found that an electron dose of was required to remove aluminum and fluorine from the irradiated areas.23 Using film as an etch mask against reactive ion etching in a plasma on a 50-nm-thick silicon nitride membrane and subsequent removal of with an aqueous solution of HCl, patterns with dimensions of were obtained. Since the resist required no further development after the exposure, it was termed as a “self-developing resist.” Further studies on resist demonstrated both positive- and negative-tone behavior.24 Interestingly, it was found that in the irradiated areas, metallic aluminum was formed at doses as low as . Aluminum wires about 20 nm wide were produced by subsequently dissolving the resist in water. Different metal halides demonstrate different damage mechanisms when irradiated with an intense electron probe [Figs. 1(a) and 1(b)]. Kratschmer and Isaacson investigated a host of metal fluorides such as , , , , , , and CsF for both positive- and negative-tone behavior.27 Independently, using an STEM, Scherer and Craighead also showed that and films could indeed act as negative-tone resists.28 Using in situ electron energy loss analysis, they observed that both and show a decline in the fluorine K-edge signal during the irradiation, with a simultaneous increase in the oxygen K-edge intensity—the source of oxygen being the vacuum of the microscope chamber. Feature sizes of about 100 nm were achieved on GaAs, with grain size of the resist film acting as a limit factor to achieve higher resolution. Scherer et al. attempted to optimize resolution of the negative fluoride resists by grain size control, which was achieved by alloying film with 8% .29 Other group II metal fluorides such as and were used as positive resists and developed in water or other suitable agents to chemically strip radiolysis-induced products. Mankiewich et al. achieved 30 nm resolution with inorganic resist.30 When exposed inside an STEM (pressure ), radiolysis of led to the formation of CaO as the end product. They suggested that electron beam-induced radiolysis of leads to the formation of Ca metal first, which rapidly oxidizes to CaO by residual oxygen inside the chamber. This chemical conversion required a total dose of . Water was used as a developer because CaO is about hundred times more soluble in water than . Zanetti et al. continued the work on as an etch mask.31 Instead of a simple thermal evaporation, they used molecular beam epitaxy to deposit as a resist on GaAs. Epitaxial films were attained due to a good lattice match between them. Electron energy loss spectroscopy (EELS) studies on crystalline and amorphous by Saifullah et al. showed a decline in the fluorine K-edge signal during electron irradiation, with the F:Fe ratio settling to a value of [Figs. 1(c) and 1(d)].25 The return of FEG-SEM instead of STEM to perform sub-10 nm electron beam lithography marked an important milestone in self-developing metal halide resists. Taking a cue from the earlier work by Langheinrich and Beneking who demonstrated to 4 nm resolution in a STEM using -doped LiF inorganic resist;32 in 1995, Fujita et al. using the same resist, demonstrated 5-nm linewidth patterns with 60 nm periodicity on a silicon substrate using a 30-kV electron beam inside an FEG-SEM.33 Electron stimulated desorption studies suggested the formation of a metal-rich layer by preferential desorption of fluorine. This process suppresses further desorption of fluorine. Self-developing reaction in this resist is achieved by the surface diffusion of the residual metal film.34 Despite their very high resolution, metal halides as electron beam resists suffered from couple of disadvantages—their high hygroscopicity and very high dose requirement to either drill a hole or expose the resist. These drawbacks were somewhat alleviated using metal oxides as high-resolution resists, on which we will focus our attention. 2.1.2.Self-developing metal oxide resistsUsing a highly intense focused electron beam in a VG HB5 STEM, Mochel et al. were able to drill 2-nm holes and cut 2-nm lines through a 200-nm-thick crystalline sample of sodium -alumina in a few seconds.35 This was a permanent hole drilling as opposed to what was observed in many metal halides where the holes got “healed” when exposed to the ambient. Furthermore, a stable partially formed hole could be observed if the electron irradiation was stopped before the hole was completely drilled. The hole drilling phenomenon was followed by monitoring the transmitted current versus time. It was observed that the initial constant mass loss was followed by a decrease in the mass loss rate, ending with the formation of a hole [Fig. 1(b)]. The hole drilling process in crystalline sodium -alumina was further studied by Berger et al. who used EELS and energy filtered imaging, together with transmitted current versus time curves.36 Using the information obtained from these techniques, they suggested that electron irradiation resulted in the removal of material atom plane by atom plane from both the surfaces during hole drilling. The incident beam also led to ionization, which resulted in desorption of oxygen, with aluminum migrating to the sides of the hole. Devenish et al. used a standard thermionic source in a conventional TEM to drill holes in sodium -alumina.37 Salisbury et al. coined the acronym SCRIBE (subnanometer cutting and ruling by an intense beam of electrons) to differentiate the process of hole drilling or “machining” in inorganic materials from conventional electron beam lithography using organic resists.38 Among metal oxides, amorphous was perhaps the most popular material that was studied using SCRIBE. Studies by Mochel et al.35 and Berger et al.36 demonstrated that hole drilling at a nanoscale in an anodized amorphous takes place differently from what was observed in crystalline sodium -alumina. The transmitted current versus time showed a quick increase in current followed by a plateau region that increases slowly until the current jumps to a final value [Fig 1(a)]. In other words, amorphous undergoes a small but quick mass loss initially followed by a pause during which no mass loss occurs. Finally, an abrupt transition to a hole takes place. Interestingly, it was observed that if the beam was switched off in the plateau region, then the partially drilled hole healed up quickly with no observable sign of the incomplete hole. Using a conventional tungsten thermionic source in a conventional TEM, therefore at a lower current density, Devenish et al. attempted to drill amorphous .37 It was observed that at room temperature, the film suffered some damage, but no holes could be drilled. However, at liquid nitrogen temperature, holes were easily drilled. A possible explanation for this observation is that at low temperatures, gases such as water vapor and oxygen in the TEM column may be condensed or adsorbed on amorphous . The electron beam ionizes the adsorbed species, which then participate as reactive ions to boost the hole drilling. Just like what was noticed earlier for anodized amorphous , some partially drilled holes were also observed to heal up in amorphous . It was suggested that the drilling process was a balance between outward electron-beam-induced migration and inward back diffusion of aluminum back, which tends to fill up the hole. Lowering the temperature should decrease the rate of back diffusion of aluminum and hence increase the drilling rate. Studies by Hollenbach and Buchanan showed that radio frequency sputtered thin films of amorphous in a VG HB5 STEM showed significant increase in sensitivity when compared with anodized films.39 The hole formation time in a 90-nm-thick sputtered amorphous could be reduced to 50 ms (rather than tens of seconds), and dose requirement for 5 nm wide holes was determined to be . The reduction in dose requirement was attributed to the microstructural characteristics and incorporation of a relatively larger atom of argon acting as a structural modifier in the sputtered film. Thus, the faster rate of removal of material from the irradiated area could be due to the more open structure of the amorphous material, leading to increased diffusion rates of atoms. Similar hole drilling results were also obtained for sputter-deposited amorphous films of , , , and . Morgan et al. investigated this material further and found that electron irradiation with a high magnification raster () causes the holes to heal up.40 Interestingly, when the holes were drilled closer together than the critical separation, a proximity effect was observed causing the holes to become pear-shaped. On the contrary, crystalline did not show these effects.41 While studying the SCRIBE process in MgO smoke cubes, Salisbury et al. found that it is possible to “machine” holes and steps on the nanometer scale on the surface of MgO with {100} faces oriented parallel to the electron beam.38 Further investigations by Turner et al.42 and Devenish et al.43 have demonstrated the formation of atomically smooth surface faces when a finely focused beam is rastered over the surface of a MgO crystal. Energy dispersive x-ray spectroscopy during “machining” showed a constant Mg:O ratio suggesting that the material is removed in stoichiometric groups. Berger et al. observed that hole drilling in , TiO, and was similar to that seen in metal -aluminas—a gradual loss of oxygen and displacement of titanium under the beam.44 However, the holes did not penetrate through the films and the hole drilling stopped when a critical Ti:O ratio was reached. A comparative study of “machining” of amorphous and crystalline films using a finely focused beam of electrons by Saifullah et al. showed a surprising result—the latter more amenable to hole drilling than the former.45 Time-resolved EELS studies showed that both amorphous and crystalline films lose oxygen continuously during the exposure to a finely focused electron beam with the final composition settling close to that of TiO. , although not exactly a metal oxide and slightly less ionic than other oxides discussed so far, is also amenable to the SCRIBE process. Using windowless energy dispersive x-ray spectroscopy and EELS studies, Chen et al.46 and later Saifullah et al.47 showed that electron beam evaporated self-supporting thin films of can be directly reduced to silicon with an intense 100 kV electron probe inside a VG 501HB STEM. Columns of silicon as small as 2 nm wide were fabricated at an electron dose . During the exposure, both silicon and oxygen are lost, the former more slowly than the latter. Using an intense electron probe in FEG-SEM, Fujita et al. exposed a thermally grown on an Si (111) substrate.48 This resulted in the formation of SiO, which was selectively thermally desorbed inside an ultrahigh vacuum chamber to produce 10-nm-wide open windows. Pattern transfer produced Si wires of 10 nm width. Other oxides studied for electron beam-induced hole drilling were ZnO crystals,38 , and .37 Hole drilling in ZnO crystals gave rise to faceted holes, but the indentation formed equally at both entrance and exit surfaces. In , electron irradiation gave rise to the loss of oxygen, thus transforming it into a semiconductor. Devenish et al. suggested that Josephson junctions could be potentially produced on a nanoscale using the SCRIBE technique.37 Pauza et al. used electrons to create a narrow damaged section on film and showed that weak links can be written directly on this material.49 With the rare exception of a few studies, almost all the work on metal oxides (and metal halides) as self-developing electron beam resists were carried out using free-standing thin films or nanoscale particles of oxides inside a dedicated STEM. To gain a better understanding of the electron beam exposure behavior of self-developing inorganic resists on a substrate, Saifullah et al. conducted systematic studies using sputtered resist on a silicon substrate inside an STEM.50 By monitoring the changes taking place in the resist as a function of electron dose using EELS spectra, they noticed that even though both aluminum and oxygen are lost from the irradiated area, a very thin film of consisting of aluminum and oxygen remains. Even a prolonged exposure did not remove this film. Amorphous is resistant to reactive ion etching in fluorine-containing plasmas. Presence of small amount of amorphous on the substrate can result in the lack of pattern transfer. 2.1.3.Electron beam damage mechanisms in inorganic resists based on metal halides and metal oxidesElectron beam damage mechanisms involved during the SCRIBE process of inorganic resists based on metal halides and metal oxides are varied with different mechanisms dominant in different materials (Fig. 2). Here, we summarize them.
Mochel et al. proposed that in crystalline sodium -alumina, oxygen was desorbed via the Knotek–Feibelman mechanism, and aluminum migrated to the sides of the hole during “machining” with a focused beam of electrons.35 This model was also reiterated by Humphreys et al. for hole drilling in , where 2p, the highest occupied level of the ion, is deep enough to provide energy to remove one or two electrons from .26 2.1.4.Outlook on inorganic resists based on metal halides and metal oxidesIn Sec. 2.1, we noted that electron beam lithography using inorganic resists was carried out using a dedicated STEM and membrane–film substrate. It successfully demonstrated single digit nanometer resolution as small as 2 nm. Utilizing the high resolution offered by resist and taking a cue from the question posed by Richard Feynman “Why cannot we write the entire 24 volumes of the Encyclopaedia Britannica on the head of a pin?” in his paper titled “There’s Plenty of Room at the Bottom,”56 Humphreys et al. demonstrated that the writing of contents of the Encyclopaedia Britannica on a pinhead is indeed possible.26 They also pointed out that if the letters are represented by a code of dots and dashes in patterned resist then the contents of the Encyclopaedia would fit on a pinhead with plenty of room to spare [Fig. 1(e)]. Another advantage of inorganic resists is that they are usually self-developing and require little or no chemical development post-exposure. However, they suffered from a steeper requirement of electron dose, especially when they were patterned on a solid substrate.50 Moreover, the use of membrane–film substrate is not ideal for device fabrication due to its fragile nature. In contrast to using a dedicated STEM for lithography, both FEG-SEM and conventional electron beam lithography machine offer a more practical alternative for studying achievable resolution in resists and device fabrication on solid substrates. Amorphous oxides such as and deposited on silicon substrates were investigated for their suitability as electron beam resists as well as etch masks. In the case of , it undergoes amorphous to crystalline transition under an electron beam, which results in change in its solubility in HCl.57 On the other hand, amorphous films showed irradiation-induced chemical change and an amorphous to crystalline transition at lower and higher voltages, respectively, both affecting the change in solubility of exposed region in basic solutions.58,59 Although the dose requirement for exposure was lowered by some orders of magnitude, there was a noticeable increase in the LER due to the amorphous to crystalline transition. 2.2.Inorganic Resists Based on Chalcogenide GlassesConcurrent to the investigation of metal halide and oxide-based inorganic resists, another resist platform had been simultaneously conceived utilizing chalcogenide glasses as resists materials. They typically comprise of sulfides and selenides of arsenic and germanium. Since the molecular network in chalcogenide glasses is much weaker compared to rigidly connected oxide glasses, exposure to various radiation sources such as ultraviolet/visible/infrared, x-rays, and electron beam can cause physiochemical alterations in chalcogenide glasses. Exploiting this phenomenon, chalcogenide glasses have been widely studied as lithographic resists.60–62 Perhaps the earliest report comes from Suhara et al. in 1975 who demonstrated electron beam-induced transformation in As-S chalcogenide glass leading to a visible change in the refractive index of the exposed area.63 They further expanded the repertoire of resists to include evaporated As-S, As-Se-Ge, and As-Se-S-Ge chalcogenide systems. The electron beam-induced transformation was observed as change in the refractive index for doses as small as .63–66 The highlight of these earlier works is that they showed patterning using electron beam lithography can be carried out without development and etching steps. Interestingly, the patterned chalcogenide resist can be erased by heating and can be reused.66 Subsequently, it was found that the chalcogenide films can elicit both positive- and negative-tone behavior depending on the choice of developer.67,68 Exposed region of As-S-Se glass when subjected to an alkaline organic solution rendered it insoluble (negative tone) while behaved as positive-tone resist for water-based NaOH solution. Further electron beam lithography studies with different compositions of As-S chalcogenide glass films using 30 kV electron beam, negative-tone high aspect ratio patterned structures down to 27 nm line widths with 7 nm spacing were fabricated using an amine-based alkaline developer.69,70 Tanaka71 reported that electrostatic force and electro-induced fluidity is the likely mechanism for the structural transformation. These chalcogenide glass films have been since used for fabricating gratings and optical diffractive elements.72,73 Over the years, several alloyed compositions of As-Ge containing chalcogenide glasses, such as ,64 ,74 ,75 , and , have been studied for electron beam patterning.76 In addition, negative-tone electron beam patterning has also been shown among chalcogenide glass compositions comprising of Ge-Sb-Se,77 P-Ge-Se,78 and Sb-Se.79,80 While thermal evaporation has been the popular method for deposition of chalcogenide films, pulsed laser deposition has also been explored for maintaining stoichiometry of the complex compositions.81 Several reports have exploited electron beam-induced metal-doping mechanism for negative-tone patterning of chalcogenide resists, first reported by Yoshikawa et al.82 A thin Ag film was deposited on top of the chalcogenide film by dipping into an aqueous solution. On exposure by an electron beam, the diffusion of Ag into the chalcogenide film made the region insoluble in an alkaline solution. This approach features almost an order of magnitude-sensitivity improvement along with high patterning contrast (). The approach was subsequently explored by varying the stoichiometric composition of the Ge-Se chalcogenide glass.83–86 Similar patternability by Ag doping was also reported in 87 and films.88 On the other hand, Romero and Fitzgerald demonstrated that the can be patterned using Cu thin film instead of Ag.89 Similar Ag doping mechanism has also been reported for various compositions of As-S chalcogenide resists and resolution down to 30 nm was demonstrated.90–93 Various compositions containing ,94 ,92,94 and As-Ge-Se95,96 have also been investigated for electron beam patterning using this approach. 2.3.Spin-Coatable Metal-Containing Molecular ResistsThe fundamental fact is that inorganic resists based on metal halides and metal oxides are highly stable materials with strong ionic bonding and consequently require a high electron dose to make and/or break chemical bonds. In 1999, Saifullah et al. showed that instead of using a stable inorganic resist to achieve high resolution, a relatively unstable metalorganic molecular material would potentially offer benefits such as a lower dose to exposure, simplified lithography by removing the lift-off step, and enabling much-desired single digit nanometer resolution.97 From the same research group, a year earlier in 1998, Namatsu et al. reported breakthrough in patterning of inorganic materials—they demonstrated that hydrogen silsesquioxane (HSQ) could be patterned with both high resolution and sensitivity as well as with very low linewidth fluctuation.98 These two reports broke the stalemate and provided the paradigm shift in direct patterning of functional materials by electron beam lithography. 2.3.1.Spin-coatable metal oxide resistsStabilized metal alkoxide-based resistsMetal alkoxides are popular precursors for the development of electron beam-sensitive spin-coatable metal oxide resists. These are reactive compounds due to the presence of electronegative alkoxy groups, thus making the metal atom vulnerable to nucleophilic attack. Furthermore, alkoxides are highly unstable in an ambient atmosphere and susceptible to hydrolysis resulting in the formation of hydrated metal oxides alkoxides. This makes them unsuitable for handling in a lab atmosphere. However, their hydrolytic activity can be carefully tailored via chelation with -diketones (e.g., acetylacetone, benzoylacetone) and -ketoesters (e.g., methylacetoacetate, ethylacetoacetate). Both -diketones and -ketoesters undergo keto-enol tautomerism – the enol form is stabilized by chelation with metal alkoxides. This reduces the hydrolytic activity, most likely due to steric hindrance, and enables their handling under lab conditions. Due to high solubility of chelated metal alkoxides in organic solvents, this allows them to be spin-coated on a substrate in a conventional manner. Aluminum tert-butoxide chelated with acetylacetone and ethylacetoacetate was the first metal alkoxide used as an electron beam-sensitive negative resist [Fig. 3(a)]. Chelation produced the gel that, when spin-coated on a substrate, gave resist.97,103 Its sensitivity was (at 80 kV) and exhibited times more electron beam-sensitivity than sputtered amorphous resist films.104 For the first time, the sensitivity of a metal oxide resist was demonstrated to be very close to the conventional electron beam resists such as calixarene and HSQ.105,106 Furthermore, it was shown that the sensitivity of spin-coatable resist was tailorable depending upon the chelating agent that was employed to stabilize the alkoxide.107 The weaker the ability of a chelating agent to bind with aluminum alkoxide, the higher is the electron beam sensitivity of the corresponding resist. Due to its small molecular size, resist showed single digit nanoscale resolution (8 nm) in the center of a main field and at its corners [Fig. 3(b)].99 Since the lift-off step was absent, the patterned structure was mainly , a functional material, which could be used as a mask to etch silicon.103 The chemistry of spin-coatable resist was used as a model to further develop resists of ,100 ,101 ,102 , lead zirconium titanate (PZT), yttrium iron garnet (YIG), among others [Figs. 3(c)–3(f)].108 Unsurprisingly, all these resists showed single digit nanometer resolution—out of which and resists stand out for their low LER in sub-10 nm patterns and showed little deviation of the patterned structures from the designed widths. With further tweaking of sol–gel chemistry, resists for ,109 ,110 ,111 PZT112 among others were developed that are amenable to not only electron beam but also photon-based lithographies.109 Both and resists used their respective alkoxides along with a phenylsilane to enable condensation of the inorganic network when exposed to an electron beam.109,110 On the other hand, and PZT utilized their respective alkoxides with epoxy111 and methacrylate groups,112 respectively, to achieve patterning. Electron beam patterning of a metal oxide using its respective metal alkoxide without a chelating agent is indeed possible but its deposition on a wafer is very cumbersome. Mitchell and Hu performed electron beam lithography on the condensed titanium iso-propoxide films to obtained patterns.113–115 The deposition of titanium iso-propoxide required a high vacuum chamber and cooling of the wafer. Titanium iso-propoxide showed a high sensitivity to an electron beam, which is comparable to the conventional resists such as PMMA. It was mentioned earlier that the chelation of metal alkoxides with -diketones and -ketoesters leads to the hydrolytic stability of spin-coatable metal oxide resists. With respect to chemical bonding, the metal atom is bound to -diketones and -ketoesters in a bidentate fashion. When the resist is exposed to a beam of energetic electrons, the peaks associated with and vibrations in the chelate ring decrease in intensity with an increasing electron dose [Fig. 4(a)]. This suggests rapid radiolysis of the chelate ring, breakdown of the organic components, and their removal via the vacuum system of the electron beam writer [Fig. 4(b)]. In the FTIR spectra, with an increasing electron dose, this leads to bleaching of the bands associated with the original chelating organic molecules of the resist. The breakdown of organic components in the resist makes it insoluble in organic solvents such as alcohols and acetone, thus giving a negative-tone behavior.103 In the case of metal oxide resists where an alkoxide and a phenyl silane was used, exposure of the resist films to electrons and photons (UV or x-ray) result in degradation of the organic components and condensation of the inorganic network.109,110 This process makes the exposed resist insoluble in organic solvents. Metal carboxylate-based resistsCarboxylate salts of metals, especially metal naphthenates and neodecanoates (many of them popularly used as wood preservatives!), have been used as negative electron beam resists to pattern metal oxides via a post-heat treatment step. These are stable viscous liquids at room temperature. They are excellent candidates for high-resolution electron beam lithography. was the earliest oxide that was patterned using a mixture of metal naphthenates.116 Several subsequent reports thereafter utilized submicron direct-write capabilities of metal naphthenates,117 octylates,118 and ethylhexanoates119,120 to fabricated ferroelectric oxide patterns. Kiyohara et al. exploited the high etch resistance of the electron beam direct-write patterned metal octylates for pattern transfer into CVD diamond films.121 Saifullah et al. showed that zinc naphthenate was capable of single digit nanometer resolution (7 nm) with an aspect ratio of over the entire main field [Fig 5(a)].122,123 At 100 kV, zinc naphthenate showed a sensitivity of and contrast of . These nanopatterns demonstrated very low LER (). Heat-treatment of these patterns gave wide ZnO lines [Fig. 5(b)]. Jones et al. fabricated nanoscale field-effect transistors of ZnO using a zinc neodecanoate resist.124 Comparing zinc naphthenate and zinc neodecanoate as resists for fabricating ZnO nanowires and FETs, Tiwale et al. demonstrated that both the precursors gave similar electronic properties.125 Electron beam lithography of iron naphthenate and the post-heat treatment of its patterns gave , which was used as a catalyst to grow carbon nanotubes.126 Likewise, nickel and copper naphthenates can also be patterned and heat-treated in air or a reducing atmosphere to give either their oxides or metals, respectively. Using the latter technique, Nedelcu et al. were able to obtain 8- and 4-nm wide lines of nickel and copper, respectively.127 They also noted the low LER of the patterned structures. With the help of controlled annealing in pure hydrogen atmosphere, Bi et al. were able to achieve conversion of patterned copper naphthenate into graphene@copper composite.128 Using condensed copper(II) pentafluoropropionate on a substrate, Berger et al. were able to pattern CuO, which on further annealing in a forming gas (5% in ) gave Cu structures.129 Bhuvana et al. adopted a slightly different approach where they reacted palladium acetate with hexadecylthiol in an equimolar ratio to produce palladium acetate hexadecylthiolate. This behaved as a negative resist due to cross-linking of carbon chains when exposed to an electron beam. Heating the patterned resist at in air gave 30 nm wide lines of palladium metal.130 It was observed that reducing the size of the carboxylate group to an acetate led to the formation of metal oxide straightaway when the metal acetate was exposed to an electron beam. Stark et al. had initially reported patterning of palladium acetate by an electron beam exposure and subsequent development in chloroform. The solubility change due to exposure was attributed to the decomposition of palladium acetate leading to densification.131 Chaker et al. sublimated zinc acetate onto a silicon substrate in vacuum. Exposing the thin film of zinc acetate to a beam of electrons led to the direct formation of 12-nm wide ZnO lines.132 Similar electron beam exposure behavior was also observed for organotin acetate-based resists.133 Electron beam exposure study of a naphthenate resist using infrared absorption suggests cross-linking in the resist thus increasing the molecular weight and making it insoluble in organic solvents such as toluene. The result of this chemical transformation is negative-tone patterning.117 It is believed that other metal carboxylates such as metal neodecanoates, octylates, ethylhexanoates, and palladium acetate hexadecylthiolate also undergo similar cross-linking to show negative-tone behavior. On the other hand, resists based on lower molecular weight carboxylate group such as zinc acetate undergo radiolysis leading to the removal of volatile organics and formation of ZnO under the beam.132 2.3.2.Metal oxo-cage or oxo-cluster resistsAmong the conventional electron beam resists, HSQ has been extensively employed for high-resolution patterning.98,106 This resist consists of an oxo-cluster of Si as its building block. Despite the issues with long-term stability, it possesses uniquely beneficial properties such as sub-10 nm resolution with extremely low LER and high etch resistance for pattern transfer thus proved to be a prelude to the development of spin-coatable metal oxide inorganic resists. Perhaps the most significant development that followed was the resists based on aqueous chemistries of Zr and Hf.134 These resists are based on solution processable and amorphous films that can easily be deposited using aqueous solutions into smooth films. They were prepared by reacting aqueous solutions of and with and . These resists show sensitivities as low as at 30 kV electron beam exposure [Fig. 6(a)]—a significant achievement for a purely inorganic non-CAR. More importantly, they also showed lower values of line width roughness (LWR). The differential solubility between exposed and unexposed areas arises from electron beam-induced decomposition and elimination of peroxide, which promotes condensation reaction and crosslinking of metal-oxo bridges. In a subsequent report, 21-nm lines LWR as low as 1.6 to 1.8 nm was reported along with 18-nm half pitch patternability [Figs. 6(b) and 6(c)].135 These encouraging results led to commercialization of the resist platform by Inpria Corp. They continued improvement of the resist processing reporting 12-nm half pitch patterning and across the board high dry-etch resistance compared to HSQ.138 It has been further reported that resist can be patterned down to 9 nm with 10-kV electron beam lithography [Fig. 6(d)].136 New developments in the field of metal-oxo cage resists are primarily driven by their success in EUV lithography, occasionally with a comparative study involving electron beam patterning. Inpria Corp. introduced a next generation of metal oxide inorganic resists based on tin (Sn) in an attempt to improve their absorbance for EUV radiation.139 This development further spurred a slew of organotin-based resists. While Sn dodecamer ()-based resist chemistry attracted particular attention,140 other chemistries, such as sodium-centered butyltin (),141 butyltin oxo hydroxo cluster,142 and hexameric organotin carboxylates,133 are interesting as well [Figs. 6(e) and 6(f)]. During the patterning of these resist materials, radiolysis of the Sn–C bond initiates hydrolysis and condensation reactions giving rise to tin oxide framework that is rendered less soluble in a developer. It has also been suggested that post-exposure bake may cause dehydration along with crosslinking of organic ligands, which may impact the solubility contrast. Resolution down to linewidth has been thus demonstrated using Sn-based chemistries [Fig. 6(g)].137 Oxo-cluster-based resists containing different metals such as Ti, Zr, Hf, Zn and the effect of ligand chemistry on EUV lithography performance is being actively investigated.143–147 In a recent study, oxo-cluster resist based on Ni has also shown resolution isolated features with LER as low as patterned using electron beam lithography.148 2.3.3.Spin-coatable metal sulfide resistsThis is a recent addition in the armory of metal-containing molecular resists for electron beam lithography to obtain directly metal sulfide under an electron beam. An earlier attempt involved electron beam lithography of palladium hexadecylthiolate, a negative-tone resist, which was converted to via a post-thermolysis step.149 We mentioned in the section “Stabilized metal alkoxide-based resists” that metal alkoxides are nucleophiles that can be stabilized via chelation. One of the other ways to stabilize a metal alkoxide, , is to insert a mild electrophile such as carbon disulfide (). This leads to the formation of a metal xanthate or metal dithiocarbonate, (where R is the alkyl group), which is just about stable at room temperature. Metal xanthates rapidly undergo decomposition when heated around 100°C, some even at a lower temperature. Furthermore, many of them freely dissolve in organic solvents such as chloroform. Their borderline stability and good solubility in organic solvents make them highly suitable candidates as electron beam resists. Transition metal xanthates are conveniently prepared by metathesis reaction between a metal salt and potassium alkylxanthates in an aqueous medium. They usually exhibit bidentate bonding between the transition metal atom and the two sulfur atoms of xanthate group, which can be potentially cleaved using an energetic beam of electrons [Fig. 7(a)]. In an early report, mixture of metal xanthates was used as precursor for direct-nanopatterning copper indium sulfide for use in hybrid photovoltaics with EUV interference lithography.153 The work of Saifullah et al. is the earliest demonstration of direct patterning of metal sulfide under an electron beam. They showed the suitability of zinc alkylxanthate-based spin-coatable resists for direct lithography of ZnS.150 The dose sensitivity and solubility of zinc alkylxanthates increases with increasing length of the alkyl chain. The sensitivity of these resists was close to at 100 kV and were capable of achieving very high resolution – ZnS lines as small as 6- and 10-nm dots with pitches as close as 22 nm were achieved [Figs. 7(b) and 7(c)]. Just like spin-coatable metal oxides, the lift-off step was noticeably absent here as well. Fabricated patterns of ZnS showed defect-induced photoluminescence related to sub-band-gap optical transitions [Figs. 7(d) and 7(e)]. Similarly, Recatala-Gomez et al. employed bismuth(III) ethylxanthate to pattern bismuth sulfide () to study its thermoelectric properties [Fig. 7(f)].151 For direct patterning of , tetranuclear molybdenum thiocubane () complexes with xanthate ligands were used.152 They were prepared by refluxing molybdenum hexacarbonyl with different dialkylxanthogen disulfides in toluene. These complexes [, where R is an alkyl group] show excellent solubility in organic solvents and show good film formability. Their electron beam sensitivity is very close to that of ZnS resist and are capable of single digit nanometer resolution. An electron-beam-induced radiolysis of a molybdenum thiocubane resist resulted in the fabrication of 9-nm-wide lines and dense dots as small as 13 nm with a pitch of 33 nm [Fig. 7(g)]. To pattern metal sulfides using an electron beam, Wang et al. used a different precursor based on metal alkylthiocarbamate.154 They reacted butyldithiocarbamic acid with transition metal oxides to form metal butyldithiocarbamates. These compounds were chemically transformed to metal sulfides via patterning using electrons/photons followed by a heat-treatment step. For electron beam writing, unlike the xanthates, the dose required for butyldithiocarbamates was enormously high— for , for ZnS, and for PbS. This is not surprising as metal alkyldithiocarbamates, in contrast to metal alkyldithiocarbonates (or xanthates), are quite stable compounds. More stability of the precursor translates into higher dose requirement to chemically change the material, with a requirement of post-heat-treatment step to remove residual organics. Micro-Raman and micro-FTIR spectroscopies were used to follow time-resolved electron beam damage studies in metal sulfide resists based on metal xanthates. These studies suggest that exposure to a beam of electrons lead to quick disappearance of xanthate moieties, most probably via the Chugaev elimination with the concurrent formation of metal sulfide [Fig. 8].150–152 This phenomenon makes the exposed resist insoluble in organic solvents and gives rise to the negative-tone behavior. 2.4.Spin-Coatable Metal-Containing Nonmolecular ResistsBeing nonmolecular, this class of resist offers considerable freedom in the way a metal is incorporated in the resist. The purpose of the addition of metal is to improve the resist performance and to provide certain functionality to the patterned material. Macroscopically, resists in this class fall into two categories—resists having a polymer backbone and organic ligand capped nanoparticles. On one hand, metal salts or metallic nanoparticles are added to organic polymer to improve its patterning performance; on the other hand, metallopolymers themselves have been explored for nanolithography. Interestingly, nanoparticle formulations have sometimes been exploited for direct-writing of functional nanostructures such as conducting nanowires and light-emitting quantum dots. The solubility switch mechanism exploited among these nanoparticle resists at times can simply be radiation-induced decomposition of the organic ligands causing the nanoparticles to condense into rigid inorganic structures. However, in some cases, elegant ligand exchange reaction takes place when exposed to radiation, changing their solubility in specific solvents. An overview of some of these nonmolecular resists reported over the years is provided in this section. 2.4.1.Metal-containing polymeric resistsPolymeric resists such as PMMA are widely used in electron beam lithography. To improve their properties and to serve a functional purpose, metallic species are added to them. Dating back to 1979, Webb and Hatzakis reported that copolymers of methyl methacrylate and metal methacrylates exhibit improvement of sensitivity and contrast for electron beam lithography when optimal metal content is incorporated into the composition.155 They observed that the sensitivity of the resist improved with increase in the atomic number of the incorporated metal. In 1986, direct writing of gold nanostructures using electron beam exposure was demonstrated using gold containing organometallic polymer.156 Chuang et al. used an interesting approach where they induced oxidation–reduction reaction with an electron beam (at a dose of ) in a lanthanum strontium manganese oxide (LSMO) gel that had polyvinyl alcohol as fuel and nitrate ions () as an oxidant.157,158 Lanthanum, strontium, and manganese nitrates provided ions in the gel. This type of autoignition process is characterized by a sharp and an intense exotherm and is a selfpropagating combustion reaction. Spin-coatable and water-soluble LSMO gel is a dual tone resist whose behavior can be modified by changing the dose. Further reports demonstrate that if Ag or Au salts are added to polyvinyl alcohol, electron beam exposure can induce acid catalyzed crosslinking within polyvinyl alcohol rendering the exposed regions insoluble in water thus exhibiting negative-tone behavior.159,160 The addition of silver nitrate to poly(vinyl pyrrolidone) also exhibited negative-tone pattern ability; however, it was found that the nanocomposite formulation showed lower patterning resolution.161 Better results were obtained for a negative resist that consists of chloroauric acid () and poly(vinyl pyrrolidone) hybrid, giving a minimum Au feature size of after electron beam lithography.162 In a recent report, the addition of -sized Ag nanoparticles to tert-butyl 2-ethyl-6-(4-hydroxyphenyl)-4-phenylheptanoate (Terpolymer) resist served as radiation sensitizer. Incremental addition of Ag nanoparticles up to 1 wt. % exhibited 10-fold sensitivity improvement with acetonitrile as developer.163 The resist composition also showed resolution with LER. Zong et al. reported doping commercial electron beam resists with bimetallic (PtFe, PtCo, etc.) nanoparticles leading to significant improvement in their mechanical properties.164 The modified resist exhibited increased pattern collapse mitigation and enhanced etch resistance for pattern transfer using ion-beam etching. Con et al. used coevaporation of Cr and polystyrene to incorporate metal into the polymeric matrix and reported stark improvement in the patterning contrast as well as dry etch resistance.165 The authors were thus able to fabricate 100-nm Si-nanopillars with an aspect ratio as high as 35 using thick resist. Several metallopolymers have been utilized for electron beam patterning. Earliest of the reports out of the Bell Labs utilized ferrocene containing polymers such as poly(vinyl ferrocene) for negative-tone electron beam resists.166,167 One such platform utilized polyferrocenylsilane (PFS) backbone synthesized by ring opening polymerization of silaferrocenophanes.168 Clendenning et al. demonstrated direct writing of magneto-optically active ceramics using cobalt-clusterized PFS.169 This approach was further extended to PFS resists containing Ni and Mo clusters as pendent moieties.170 In another report, metallopolymer with iron and phosphorus containing backbone, PFpP, was used for negative-tone electron beam patterning.171 Features as small as 17 nm were obtained [Figs. 9(a) and 9(b)]. Use of water-soluble poly(sodium 4-styrenesulfonate) (PSS) as a negative-tone electron beam resist was also reported having sensitivity in the similar range as PFS and PFpP.173 2.4.2.Ligand capped nanoparticle resistsIn the earliest of the reports, sub-50-nm direct electron beam patterning was demonstrated using surfactant stabilized Pd, Pd/Pt,174,175 and Au cluster.176–179 Electrically active nanowire structures were also demonstrated by direct electron beam lithography on a Ru cluster polymer.180 Langmuir–Blodgett films of alkanethiol-capped gold nanoparticles were also subjected to electron beam exposure to define nanowire structures at doses as low as , much lower than previous reports that used passivated gold nanoclusters.181 and nanoparticles with various acrylic acid ligands were investigated for their application in nanolithography by Ober’s group at Cornell University. A systematic study with different developer solutions led to the fabrication of resist patterns down to with ketone-based solvent developers.182 The approach was further extended to resist formulations containing , , and ; with optimization of resist processing, 15-nm half pitch patterns were achieved.183 However, the patterned features suffered from high LER along with significant residual scum artifact that could be the consequence of nanoparticle size and high concentration of photoacid generator (PAG). One of the widely known classes of functional nanoparticles is that of colloidal quantum dots owing to their tunable narrow bandwidth photoluminescence. However, their integration into scalable device platform is challenging since they can lose their performance when agglomerated. Few recent studies have utilized electron beam exposure as a means to directly pattern quantum dots into high-resolution optoelectronic devices. Nandwana et al. demonstrated electron beam direct patterning of trioctylphosphine oxide functionalized CdSe/ZnS quantum dots, where electron beam-induced crosslinking of the ligands rendered exposed regions insoluble in toluene.184 Subsequently, CdSe/CdS quantum dot patterns down to 30-nm lines and 50-nm disks were also reported.185 Wang et al. demonstrated a versatile approach for direct-patterning of quantum dots by exploring a range of different ligand chemistries. They were able to show nanoparticle patterns down to 30 nm linewidth, whereas CdSe quantum dot patterns down to 70 nm linewidth were also reported.186 More recently, direct patterning of quantum dots using an electron beam as well as EUV exposure has also been reported.187 Here upon exposure, oleic acid, the capping ligand for quantum dots, and a carboxylic acid, underwent cross-linking, rendering the exposed area insoluble in an apolar developer. Electron-beam-induced cross-linking of long-chain ligands (carboxylate and oleylamine) was also utilized for direct patterning of nanocrystals.188 This type of cross-linking is similar to what has been observed earlier in metal carboxylate-based resists (see section “Metal carboxylate-based resists”). 2.4.3.Metal-containing polymer-bound PAG resistsCARs have been load-bearers for semiconductor high volume manufacturing for decades due to their fast photo-speed, largely owing to highly radiation-sensitive small molecule additive called the PAG. However, as the critical dimension started to drastically decrease, PAG aggregation and acid diffusion posed a pertinent challenge for pattern fidelity. One of the solutions that was implemented was PAG grafting into the backbone of the copolymeric resists namely—polymer-bound PAG (PBP) resists.189,190 However, with the emergence of EUV lithography, these primarily organic compositions started falling short of achieving adequate radiation absorption and promoting the incorporation of inorganic/metal species into the resist mixture. A PBP composition containing ferrocene pendent group was reported to exhibit improved electron beam sensitivity and resolution compared to the composition without ferrocene group.191,192 A resist concept using W and Mo polyoxometalates as pendent group in PBP composition has also been explored.193 A recent report detailed doping of PBP resist with thiolated Ag nanoparticles that demonstrated improved sensitivity to electrons and lower LER for sub-15 nm features.194 The performance improvement was attributed to the photomultiplication effect induced by the Ag nanoparticles supporting plasmonic resonance. Similarly, copolymer synthesized using acetyldibutylstannyl methacrylate (ADSM) for incorporating Sn containing pendent group was also demonstrated, providing resolution down to with [Figs. 9(c) and 9(d)] after development in 0.002 N tetramethylammonium hydroxide (TMAH).172 2.5.Emerging Metal Containing Resist Platforms2.5.1.Metal-organic framework-based resistsMetal-organic frameworks (MOF) are organic–inorganic hybrid crystalline porous compounds that consist of metal ions coordinated to organic ligands to form one-, two-, or three-dimensional cage-like structures. These materials can be deposited with high degree of regularity leading to highly regular absorbance. The use of zeolitic imidazolate framework particles loaded into phenyltriethoxysilane sol–gel matrix was reported for generating negative-tone patterns using deep x-ray exposure.195 MOF inspired Zn-cluster resist was also demonstrated to pattern dense negative-tone structures of 25-nm half pitch with electron beam lithography and 13-nm half pitch with EUV exposure.196 Recently, Tu et al. demonstrated direct patterning of MOFs using electron beam and x-ray lithographies.197 Direct patterning avoids damage due to etching and leaves the porosity and crystallinity of the patterned MOF intact. Unlike the previous reports, positive-tone resist behavior was observed here due to the crystalline to amorphous transition of exposed regions becoming soluble in solvent such as dimethyl sulfoxide. They were able to pattern sub-50 nm features of MOFs with potential applications in high-performance dielectrics, and selective and sensitive sensors. 2.5.2.Infiltration synthesis of hybrid resistsVapor phase infiltration synthesis: an ex-situ approach for converting a conventional polymeric resist into a hybrid resist was recently demonstrated.198,199 Infiltration synthesis approach is based on vapor phase precursors typically used for atomic layer deposition (ALD); however, contrary to adsorption driven thin film deposition, precursors are made to diffuse into polymeric resist where they bind to reactive functional groups within the polymer. As a result, metal oxide moieties are grown inside the resist matrix. In the initial report, PMMA resist was converted into and its performance for electron beam lithography was studied. With an increasing amount of infiltration, the resist exhibited decrease in sensitivity while improving the patterning contrast by sixfold. At the same time, stark improvement in the dry etching resistance was observed giving Si etch selectivity estimated to be in excess of 300. Patterned features with linewidth were transferred in an Si substrate to fabricate Si fins with an aspect ratio of . Subsequently, an approach for improving the resist sensitivity by Hf-infiltration has also been introduced.200 In a recent report, infiltration of various metal oxides into a high sensitivity resist was reported with a resolution .201 While controllability and ease of implementation being the high points, further maturation of this resist platform is required. Table 1 provides a succinct summary of electron beam lithography of metal-containing resists reported over the years. Table 1Summary of key metal-containing resists reported over the years.
3.Perspectives for EUV LithographyElectron beam and EUV lithographies share certain common concerns while their evolution has been largely pivoted on serving different end-users. The former has been primarily used for research prototyping while the latter has commercial interests in mind. On the other hand, both lithographic techniques have vested interests in the continuation of the Moore’s Law in some form or another. Innovations in semiconductor industry are going to continue with research-based lithography technologies leading and providing new paths or templates for commercial lithography to emulate—a good case in point being the advent of HSQ and spin-coatable metal oxide resists developed for electron beam lithography that were once scientific curiosities in nanofabrication are now being used as a template for spin-coatable metal-containing resist development in EUV lithography. Although sufficient progress has been made in the recent years, we believe that the full spectrum advantage of metal-containing resists in EUV lithography remains untapped. Electron beam lithography, on the other hand, has already benefitted from these; it is incumbent upon the EUV lithography to exploit these prevalent knowhows. There is, however, a caveat to the mechanics of energy transfer to the resist during electron beam exposure compared to EUV exposure. As mentioned earlier, EUV radiation ionizes the resist by majorly exciting electrons from deep-valence or shallow-core levels and produces secondary electrons that subsequently cause the chemical-solubility change.14 On the other hand, during the electron-beam exposure, electrons transfer merely a tiny fraction of the incident energy to the resist before reaching the substrate and mainly excites valence electrons. A fraction of the electrons that reach the substrate is backscattered over a range of several microns causing a substantial proximity effect that adds a background exposure contribution of to 10% and the energy transported by backscattering can be up to 75% of the energy delivered by forward scattering.15 During the EUV exposure, the trajectory range of the generated photoelectrons would be around as per a Monte-Carlo simulation conducted on PMMA.202 Moreover, mean energy amounting to is lost by the electrons in the resist where electron-beam exposure of several keV is typically used. This excess energy is delivered to the substrate that may cause localized heating. While on an average energy is lost by electrons during EUV exposure as well, the energy delivered by a photon is only 92 eV, leading to only small amount of energy transferred to the substrate. Thus, substrate heating issue is unlikely to be present during EUV lithography.15 3.1.Molecular Resists for High Patterning ResolutionUsing a 0.5-nm probe in a VG HB5 STEM, the demonstration of 2-nm diameter holes and 1.5-wide troughs in thin NaCl films by Isaacson and Muray has been an important achievement in electron beam lithography.22 It sets out an important principle that a combination of ultrafine beam and a lower molecular weight resist is the key to achieving very high patterning resolution, in principle very close to the diameter of the beam. In fact, much of the early work on electron beam “machining” of metal halides and metal oxides quite consistently showed single digit nanometer patterning. Given that the modern commercial electron beam writers have a beam diameter of 2 to 5 nm, it is expected that they should be able to achieve sub-10 nm patterning. This is indeed so—single digit nanoscale lithography is now routinely possible with spin-coatable metal oxide and metal sulfide molecular resists based on metalorganic materials. Can patterning resolution close to the diameter of electron probe even be possible? Recently, using a small molecular weight spin-coatable CdS resist, Saifullah et al. demonstrated that patterning resolution close to the size of electron probe is possible in a commercial electron beam writer.203 With a diameter electron beam, they were able to fabricate 4-nm wide CdS lines over a area. This result suggests that with a suitable combination of resist chemistry and patterning instrument, lithography can be pushed down to an angstrom-scale. There is a growing realization among the practitioners of EUV lithography that smaller molecular weight metal-containing resists are key to attaining not only finer resolution but also to achieve lower values of LWR. Molecular organometallic resist for EUV (MORE) platform now consists of close to 70 different resist platforms from 10 different metals.204 This platform exploits high sensitivity of Sb metal and various carboxylate chelating groups.205,206 Indeed, it was observed from contrast curves that the photosensitivity of the resist is directly dependent on molecular weight of the carboxylate group.206,207 In a later study of complexes containing Pt and Pd, interestingly, oxalate complexes exhibited positive-tone behaviors while carbonate complexes gave negative-tone patterns.208 3.2.Mitigation of Stochastic Variations in Patterned FeaturesEvery part of the lithography process suffers from stochastic variability. When the feature sizes are large, then the number of events being averaged in various steps in lithography is sufficiently high, and in this case the stochastic nature of the lithography process can be safely ignored. However, as the feature sizes reduce in size, the scale over which the average behavior is observed also shrinks. The outcome of this is the increasing importance (and dominance) of stochastic variability in lithography. The consequence of this is the LER where a standard deviation of the edge position could be a few nanometers. In feature sizes greater , such small amounts of variation could easily be ignored. However, in sub-20 nm lithography, and more so in single digit nanoscale patterning, even a single nanometer of uncertainty in the edge position becomes a matter of considerable significance. The sources of stochastic variation in the lithography can originate from both the exposure process and the resist materials. Electron beam lithography being a serial process, the exposure is carried out as discrete beam-shots at specified pitch, which can result in substantial LER and/or pattern broadening if the latter is not properly controlled. Although during EUV lithography, the stepper exposes the entire pattern simultaneously, the spatial variation among EUV photons has much more prominent effect compared with earlier lithography wavelengths. The energy possessed by individual EUV photons (92 eV) is much higher than the ArF wavelength (6.4 eV). Consequently, for a given exposure dose, a much smaller number of EUV photons are absorbed by fixed volume of a photoresist, making the stochastic variability (also called as photon shot noise) in their position a much larger concern.209 Given that the photon shot noise limits the minimum possible LER, resist possessing high optical absorption and quantum efficiency are essential.210 On the resist side, compositional inhomogeneity is the root cause of manifestation of stochastic phenomenon. Variability in the length of the polymer chains and their spatial distribution can have significant impact on the pattern fidelity. In the multicomponent systems, such as CARs, the issue is further exacerbated by the distribution of the PAG molecules and their agglomeration along with the variation in generation and diffusion of the photoacid.11 While many resist studies to date report patterning results with electron beam as well as EUV lithography, a direct comparison of their LER implications is in fact not straightforward due to the mechanistic differences in the resist exposure. In an attempt to explore the significance of absorption shot noise on LER, a study systematically normalized the absorption, point spread function, and process latitude and discovered slightly larger mean LER for electron beam lithography compared to EUV lithography in the case of two commercial CARs tested.15 More such studies are called for to better elucidate the critical sources of LER manifestation. Small molecule metal-containing resists used in electron beam lithography do not suffer from intermolecular chain entanglement like polymer resists that can lead to internal stresses and pattern distortion. The smaller unit size of these molecular resists also leads to much more close-packed and uniform distribution of the units, compared to larger radii of gyration for polymeric resists. Moreover, being non-CARs, they do not suffer from acid-diffusion induced LER either. Instead, in many cases, the exposure induced radiolysis of molecular resists leads to outgassing of volatile byproducts accompanied by condensation of the metal containing units that are insoluble in developer. In some instances, such as metal sulfide and zinc acetate resists, the exposed regions become fully inorganic, where surface energy minimization and Ostwald ripening leads to relatively smoother pattern edges. Collectively, these small molecule metal-containing resists are likely to have profound impact on minimizing stochastic-induced pattern roughness. 3.3.Simplification of Lithography ProcessTypically, solution synthesized resist materials—polymeric, nanoparticle/nanocluster-based—have inherent size distribution of their building units. As the semiconductor industry heads into smaller technology nodes, these size variations can contribute substantially to the LWR. Moreover, during wet development, pattern collapse associated with high aspect ratio structures due to capillary forces poses substantial challenge for achieving higher resolution features. Recently, Lam Research Corp. has introduced a complete dry-processing concept for EUV lithography to address the issue of pattern collapse and LWR.211 This approach is pivoted on deposition of inorganic resist films conformally using ALD and post-exposure development to be carried out using reactive ion etching-based plasma chemistry. High-density 9-nm half pitch features with LWR have been reported with this approach. It is possible to envision even simpler process flow, where the development step itself can be avoided. As we noted earlier, an advantage of inorganic resists, chiefly metal halides and metal oxides, is that they are “self-developing” and thus needed little or no chemical development post-exposure. The concept of “self-development” in electron beam lithography, although not a great practical success, resulted in the removal of development step, thereby simplifying the lithography process and avoided the pitfalls associated with pattern collapse during wet development. Early reports on “self-developing” electron beam resists have elucidated benefits of resist compositions that generate vapor phase byproducts on exposure to radiation. Such an approach may well help in further performance improvement of EUV resists. A lesson to be learnt from the evolution of electron beam lithography resists is that the key to realizing self/dry-developing resists lies in synthesizing resist compositions that are just stable enough at room temperature, such that little to no post-exposure bake would lead to patterned resist structures. Another simplification of the lithography process is the removal of lift-off or pattern transfer step. The introduction of spin-coatable metal oxide and metal sulfide resists led to direct patterning of functional materials without the need for lift-off or etch step. It shortened the process of obtaining the patterned material, as the resist itself served as its source. Furthermore, this resulted in tighter control of critical dimensions and enabled access to single digit nanoscale resolution, which is otherwise very challenging using a conventional method of lift-off. In the case of EUV lithography, with the advent of metal-containing resists, there are already efforts to simplify the patterning process, that is, to use the patterned resist itself as an etch mask or simply as a dense insulating oxide layer.139 The higher mechanical robustness of the metal-containing resists presents yet another process simplification potential. As it is, metal-containing resists are less prone to capillary force-induced pattern collapse compared to the conventional organic resists. Moreover, conventionally, stack of layers such as spin-on-carbon, spin-on-glass, etc., have been inherent part of semiconductor processing. While such layer stack has been helpful in achieving optimal etch selectivity to realize required high aspect ratio pattern transfer, the corresponding process flow thus requires multiple etch steps, which by itself may affect the overall throughput, but more importantly it can cumulatively also exacerbate occurrence of defects and LER/LWR. Metal-containing resists, owing to their improved etch robustness, can alleviate the need for such complex etch-stack, further simplifying the patterning process. An effective example maybe of the infiltration synthesized hybrid resist that has demonstrated up to times improved etch selectivity.198,199 3.4.Direct Writing of Functional MaterialsThe flexibility in the choice of metal-containing molecular resist materials in electron beam lithography has led to the emergence of direct patterning of many functional materials at a single digit nanoscale resolution. Their patterning at such a high resolution via the traditional process of exposure, development, and lift-off/etch would have been extremely challenging if not impossible. As we have already seen, currently many metals, metal oxides, and metal sulfides can be directly patterned. In future, a host of metal chalcogenides could be potentially added to this list. A number of reports have already demonstrated application of such directly written functional materials in various device platforms with performance at par with the state of the art. A few examples to note would be ZnO nanowire transistors,122,124 substoichiometric -based thermoelectric device,151 and photovoltaics.153 With the increasing interest in the heterogeneous integration and on-chip functionalities (such as on-chip memory), direct writing of functional materials using EUV lithography can have lasting impact in shaping the next generation of technology. 3.5.Positive-Tone Patterning for Contacts HolesMost of the metal-containing resist development in EUV lithography has primarily been focused on negative-tone patterning, whereas positive resist development has been relatively limited. Particularly for features such as contact holes and vias, positive-tone development is highly advantageous over negative resists since patterning dense contact hole array with negative-tone resist either requires tremendously high exposure dose or two line-space exposures perpendicular to each other.212 However, to pattern dense contact holes with positive tone, CARs are still the material of choice.213 Electron beam lithography has previously demonstrated positive tone patternability of self-developing inorganic resists down to 2 nm holes22 as well as chain-scission PMMA resist with 1 nm holes patterning.214 Further development is clearly required to develop metal-containing resist chemistries capable of patterning such high-resolution positive-tone patterns at much higher photo-speed. Synthesis of room-temperature metastable compositions, which can be converted to less stable moieties on exposure, may benefit in overcoming this impediment to aggressively scaling down contact hole/via patterning. 4.ConclusionsWe have presented a thorough overview of the vast portfolio of metal-containing resist explored by electron beam lithography. During the initial period evaporated inorganic resists exhibiting high resolution were studied albeit they required extremely high exposure dose. Over the period, spin-coatable metal-containing molecular resists with relatively higher sensitivity were developed that consistently demonstrated single digit nanometer patternability and low LER. The advent of HSQ resist opened up a paradigm of oxo-hydroxo linked metal oxide cage/cluster resists that became one of the earliest generations of hybrid resists that were implemented for EUV lithography. Simultaneously, a number of nonmolecular resist approaches have also been explored spanning across metal salts/nanoparticles addition to polymeric backbone resists, metallopolymers, organic ligand-capped nanoparticle resists as well as metal-containing polymer-bound-PAG resists. While some of these approaches have been translated to EUV lithography, others can provide a useful pathway to overcome number of persistent challenges as the semiconductor industry aims to move into high-NA EUV lithography ecosystem in the coming years. AcknowledgmentsThe work discussed in this review was performed at various places, viz., University of Cambridge (Department of Materials Science and Metallurgy and the Nanoscience Centre), NTT Basic Research Laboratories, Atsugi-shi (Japan), and the Institute of Materials Research and Engineering (Singapore). MSMS acknowledges the help and advice of Professor Colin J. Humphreys, Dr. Kenji Kurihara, Dr. Kenji Yamazaki, Dr. Hideo Namatsu, Dr. Toru Yamaguchi, Professor Sir Mark Welland, Professor Dae-Joon Kang, Dr. David Hasko, Professor Wilhelm Huck, Professor Ullrich Steiner, Dr. Mohamed Asbahi, Professor K. R. V. Subramanian, Dr. Sudhiranjan Tripathy, Professor Kedar Hippalgaonkar, and Professor Suresh Valiyaveettil. This research used the resources of the Center for Functional Nanomaterials (CFN), which is a U.S. Department of Energy Office of Science User Facility, at Brookhaven National Laboratory (BNL) under Contract No. DE-SC0012704. NT would also like to acknowledge guidance and discussions with Dr. Chang-Yong Nam and Professor Sir Mark Welland, along with Dr. Aaron Stein, Dr. Yury Alaverdyan, and Dr. Atif Aziz. The authors have no competing interests to declare. ReferencesE. Buitrago et al.,
“Chapter 4: EUV lithography process challenges,”
Frontiers of Nanoscience, 135
–176 Elsevier, Amsterdam
(2016). Google Scholar
P. Naulleau,
“Chapter 5: EUV lithography patterning challenges,”
Frontiers of Nanoscience, 177
–192 Elsevier, Amsterdam
(2016). Google Scholar
D. L. Goldfarb et al.,
“EUV chemically amplified resist component distribution and efficiency for stochastic defect control,”
Proc. SPIE, 11326 1132609
(2020). https://doi.org/10.1117/12.2551967 PSISDG 0277-786X Google Scholar
T. Tsuchimura,
“Recent progress in photo-acid generators for advanced photopolymer materials,”
J. Photopolym. Sci. Technol., 33 15
–26
(2020). https://doi.org/10.2494/photopolymer.33.15 JSTEEW 0914-9244 Google Scholar
T. Allenet et al.,
“Progress in EUV resist screening by interference lithography for high-NA lithography,”
Proc. SPIE, 11854 118540N
(2021). https://doi.org/10.1117/12.2600963 PSISDG 0277-786X Google Scholar
T. Nishikubo and H. Kudo,
“Recent development in molecular resists for extreme ultraviolet lithography,”
J. Photopolym. Sci. Technol., 24 9
–18
(2011). https://doi.org/10.2494/photopolymer.24.9 JSTEEW 0914-9244 Google Scholar
T. Itani and T. Kozawa,
“Resist materials and processes for extreme ultraviolet lithography,”
Jpn. J. Appl. Phys., 52 010002
(2013). https://doi.org/10.7567/JJAP.52.010002 Google Scholar
P. D. Ashby et al.,
“Resist materials for extreme ultraviolet lithography: toward low-cost single-digit-nanometer patterning,”
Adv. Mater., 27 5813
–5819
(2015). https://doi.org/10.1002/adma.201501171 ADVMEW 0935-9648 Google Scholar
S. Ghosh et al.,
“Recent advances in non-chemically amplified photoresists for next generation IC technology,”
RSC Adv., 6 74462
(2016). https://doi.org/10.1039/C6RA12077F Google Scholar
D. de Simone, Y. Vesters and G. Vandenberghe,
“Photoresists in extreme ultraviolet lithography (EUVL),”
Adv. Opt. Technol., 6 163
–172
(2017). https://doi.org/10.1515/aot-2017-0021 1687-6393 Google Scholar
L. Li et al.,
“Extreme ultraviolet resist materials for sub-7 nm patterning,”
Chem. Soc. Rev., 46 4855
–4866
(2017). https://doi.org/10.1039/C7CS00080D CSRVBR 0306-0012 Google Scholar
T. Manouras and P. Argitis,
“High sensitivity resists for EUV lithography: a review of material design strategies and performance results,”
Nanomaterials, 10 1593
(2020). https://doi.org/10.3390/nano10081593 Google Scholar
C. Luo et al.,
“Review of recent advances in inorganic photoresists,”
RSC Adv., 10 8385
–8395
(2020). https://doi.org/10.1039/C9RA08977B Google Scholar
K. D. Closser et al.,
“The importance of inner-shell electronic structure for enhancing the EUV absorption of photoresist materials,”
J. Chem. Phys., 146 164106
(2017). https://doi.org/10.1063/1.4981815 JCPSA6 0021-9606 Google Scholar
S. Bhattarai, A. R. Neureuther and P. P. Naulleau,
“Study of shot noise in photoresists for extreme ultraviolet lithography through comparative analysis of line edge roughness in electron beam and extreme ultraviolet lithography,”
J. Vac. Sci. Technol. B, 35 061602
(2017). https://doi.org/10.1116/1.4991054 JVTBD9 1071-1023 Google Scholar
D. F. Ogletree,
“Chapter 2: Molecular excitation and relaxation of extreme ultraviolet lithography photoresists,”
Frontiers of Nanoscience, 11 91
–113 Elsevier, Amsterdam
(2016). Google Scholar
I. Haller, M. Hatzakis and R. Srinivasan,
“High-resolution positive resists for electron-beam exposure,”
IBM J. Res. Dev., 12 251
–256
(1968). https://doi.org/10.1147/rd.123.0251 IBMJAE 0018-8646 Google Scholar
E. G. Lean and A. N. Broers,
“Microwave surface acoustic delay lines,”
Microwave J., 13 97
–101
(1970). Google Scholar
A. N. Broers et al.,
“Electron beam fabrication of 80 Å metal structure,”
Appl. Phys. Lett., 29 596
–598
(1976). https://doi.org/10.1063/1.89155 APPLAB 0003-6951 Google Scholar
A. N. Broers, J. M. E. Harper and W. W. Molzers,
“250-Å linewidths with PMMA electron resist,”
Appl. Phys. Lett., 33 392
–394
(1978). https://doi.org/10.1063/1.90387 APPLAB 0003-6951 Google Scholar
A. N. Broers et al.,
“High resolution electron beam fabrication using STEM,”
in Proc. 9th Int. Congr. Electron Microsc.,
343
–354
(1978). Google Scholar
M. Isaacson and A. Muray,
“In situ vaporization of very low molecular weight resists using ½ nm diameter electron beams,”
J. Vac. Sci. Technol. B, 19 1117
–1120
(1981). https://doi.org/10.1116/1.571180 JVTBD9 1071-1023 Google Scholar
A. Muray, M. Isaacson and I. Adesida,
“–a new very high resolution electron beam resist,”
Appl. Phys. Lett., 45 589
–591
(1984). https://doi.org/10.1063/1.95292 APPLAB 0003-6951 Google Scholar
E. Kratschmer and M. Isaacson,
“Nanostructure fabrication in metals, insulators, and semiconductors using self-developing metal inorganic resist,”
J. Vac. Sci. Technol. B, 4 361
–364
(1986). https://doi.org/10.1116/1.583332 JVTBD9 1071-1023 Google Scholar
M. S. M. Saifullah et al.,
“Electron beam nanolithography of iron(III) fluoride using a scanning transmission electron microscope,”
Inst. Phys. Conf. Ser., 147 325
–328
(1995). IPCSEP 0951-3248 Google Scholar
C. J. Humphreys et al.,
“Electron beam nano-etching in oxides, fluorides, metals and semiconductors,”
Scanning Microsc. Suppl., 4 185
–192
(1990). SMSUEU 0892-953X Google Scholar
E. Kratschmer and M. Isaacson,
“Progress in self-developing metal fluoride resists,”
J. Vac. Sci. Technol. B, 5 369
–373
(1987). https://doi.org/10.1116/1.583905 JVTBD9 1071-1023 Google Scholar
A. Scherer and H. G. Craighead,
“Barium fluoride and strontium fluoride negative electron beam resists,”
J. Vac. Sci. Technol. B, 5 374
–378
(1987). https://doi.org/10.1116/1.583906 JVTBD9 1071-1023 Google Scholar
A. Scherer et al.,
“Fluoride etch masks for high-resolution pattern transfer,”
J. Vac. Sci. Technol. B, 8 28
–32
(1990). https://doi.org/10.1116/1.584861 JVTBD9 1071-1023 Google Scholar
P. M. Mankiewich et al.,
“High resolution electron beam lithography on ,”
Appl. Phys. Lett., 44 468
–469
(1984). https://doi.org/10.1063/1.94769 APPLAB 0003-6951 Google Scholar
R. Zanetti et al.,
“The effect of grain size on electron beam hole drilling in ,”
Inst. Phys. Conf. Ser., 138 67
–70
(1993). IPCSEP 0951-3248 Google Scholar
W. Langheinrich and H. Beneking,
“Fabrication of metallic structures in the 10 nm region using an inorganic electron beam resist,”
Jpn. J. Appl. Phys., 32 6218
–6223
(1993). https://doi.org/10.1143/JJAP.32.6218 Google Scholar
J. Fujita et al.,
“Sub-10 nm lithography and development properties of inorganic resist by scanning electron beam,”
Appl. Phys. Lett., 66 3065
–3067
(1995). https://doi.org/10.1063/1.114279 APPLAB 0003-6951 Google Scholar
J. Fujita et al.,
“Sub-10 nm lithography and development properties of inorganic resist by scanning electron beams,”
J. Vac. Sci. Technol. B, 13 2757
–2761
(1995). https://doi.org/10.1116/1.588260 JVTBD9 1071-1023 Google Scholar
M. E. Mochel et al.,
“Electron beam writing on a 20-Å scale in metal -aluminas,”
Appl. Phys. Lett., 42 392
–394
(1983). https://doi.org/10.1063/1.93918 APPLAB 0003-6951 Google Scholar
S. D. Berger et al.,
“Electron energy-loss spectroscopy studies of nanometer-scale structures in alumina produced by intense electron-beam radiation,”
Philos. Mag. B, 55 341
–358
(1987). https://doi.org/10.1080/13642818708208619 PMABDJ 1364-2812 Google Scholar
R. W. Devenish et al.,
“Nanolithography using field emission and conventional thermionic electron sources,”
Ultramicroscopy, 28 324
–329
(1989). https://doi.org/10.1016/0304-3991(89)90318-5 ULTRD6 0304-3991 Google Scholar
I. G. Salisbury et al.,
“Nanometer scale electron beam lithography in inorganic materials,”
Appl. Phys. Lett., 45 1289
–1291
(1984). https://doi.org/10.1063/1.95115 APPLAB 0003-6951 Google Scholar
J. L. Hollenbach and R. C. Buchanan,
“Oxide thin films for nanometer scale electron beam lithography,”
J. Mater. Res., 5 1058
–1072
(1990). https://doi.org/10.1557/JMR.1990.1058 JMREEE 0884-2914 Google Scholar
C. J. Morgan et al.,
“Electron beam nanolithography of sputtered amorphous and the proximity effect,”
Inst. Phys. Conf. Ser., 119 503
–506
(1991). IPCSEP 0951-3248 Google Scholar
C. J. Morgan et al.,
“Ultimate limits of lithography,”
Phys. World, 5
(11), 28
–32
(1992). https://doi.org/10.1088/2058-7058/5/11/27 PHWOEW 0953-8585 Google Scholar
P. S. Turner et al.,
“Nanometre hole formation in MgO using electron beams,”
Philos. Mag. Lett., 61 181
–193
(1990). https://doi.org/10.1080/09500839008202357 PMLEEG 0950-0839 Google Scholar
R. W. Devenish et al.,
“Electron-beam machining of MgO and ZnO in the STEM,”
Inst. Phys. Conf. Ser., 98 215
–218
(1990). IPCSEP 0951-3248 Google Scholar
S. D. Berger, J. M. Macaulay and L. M. Brown,
“Radiation damage in at high current density,”
Philos. Mag. Lett., 56 179
–185
(1987). https://doi.org/10.1080/09500838708214705 PMLEEG 0950-0839 Google Scholar
M. S. M. Saifullah et al.,
“Electron beam damage in titanium dioxide films,”
Inst. Phys. Conf. Ser., 153 167
–170
(1997). IPCSEP 0951-3248 Google Scholar
G. S. Chen, C. B. Boothroyd and C. J. Humphreys,
“Novel fabrication method for nanometer-scale silicon dots and wires,”
Appl. Phys. Lett., 62 1949
–1951
(1993). https://doi.org/10.1063/1.109500 APPLAB 0003-6951 Google Scholar
M. S. M. Saifullah et al.,
“Electron energy loss spectroscopy of silicon nanostructures in a scanning transmission electron microscope,”
in Electron Microsc. 96 (Committee of Eur. Soc. Microsc., Brussels),
123
–125
(1998). Google Scholar
J. Fujita et al.,
“Nanostructure fabrication using the selective thermal desorption of induced by electrons,”
Appl. Phys. Lett., 69 638
–640
(1996). https://doi.org/10.1063/1.117932 APPLAB 0003-6951 Google Scholar
A. J. Pauza et al.,
“Direct writing of weak links in high superconductors with electrons,”
in Proc. Third Int. Supercond. Electron. Conf.,
352
–355
(1991). Google Scholar
M. S. M. Saifullah et al.,
“Irradiation damage of inorganic resists on a silicon substrate,”
in “The Electron” Proc. Int. Cent. Symp. the Electron,
531
–537
(1998). Google Scholar
L. W. Hobbs,
“Radiation effects in analysis of inorganic specimens by TEM,”
Analytic Electron Microscopy, 437
–480 Plenum Press, New York
(1980). Google Scholar
D. Pooley,
“F-centre production in alkali halides by electron-hole recombination and a subsequent [110] replacement sequence: a discussion of the electron-hole recombination,”
Proc. Phys. Soc. (Lond.), 87 245
–256
(1966). https://doi.org/10.1088/0370-1328/87/1/327 Google Scholar
N. Kabler and R. T. Williams,
“Vacancy-interstitial pair production via electron-hole recombination in halide crystals,”
Phys. Rev. B, 18 1948
–1960
(1978). https://doi.org/10.1103/PhysRevB.18.1948 Google Scholar
M. L. Knotek and P. J. Feibelman,
“Ion desorption by core-hole auger decay,”
Phys. Rev. Letts., 40 964
–967
(1978). https://doi.org/10.1103/PhysRevLett.40.964 Google Scholar
M. L. Knotek and P. J. Feibelman,
“Stability of ionically bonded surfaces in ionizing environments,”
Surf. Sci., 90 78
–90
(1979). https://doi.org/10.1016/0039-6028(79)90011-6 SUSCAS 0039-6028 Google Scholar
R. P. Feynman,
“There’s plenty of room at the bottom,”
Miniaturisation, 282
–296 Chapman and Hall, London and New York
(1960). Google Scholar
G. W. Kammlott and W. R. Sinclair,
“ – an inorganic electron resist material,”
J. Electrochem. Soc., 121 929
–932
(1974). https://doi.org/10.1149/1.2401955 JESOAN 0013-4651 Google Scholar
M. Baba and T. Ikeda,
“A new inorganic electron resist using amorphous film,”
Jpn. J. Appl. Phys., 20 L149
–L152
(1981). https://doi.org/10.1143/JJAP.20.L149 Google Scholar
F. Carcenac et al.,
“High voltage electron beam nanolithography on ,”
J. Vac. Sci. Technol. B, 14 4283
–4287
(1996). https://doi.org/10.1116/1.588591 JVTBD9 1071-1023 Google Scholar
H. Jain and M. Vlcek,
“Glasses for lithography,”
J. Non-Cryst. Solids, 354 1401
–1406
(2008). https://doi.org/10.1016/j.jnoncrysol.2006.10.092 JNCSBJ 0022-3093 Google Scholar
V. Lyubin,
“Chalcogenide glassy photoresists: history of development, properties, and applications,”
Phys. Status Solidi B, 246 1758
–1767
(2009). https://doi.org/10.1002/pssb.200982003 PSSBBD 0370-1972 Google Scholar
H. Jain, A. Kovalskiy, M. Vlcek,
“17–Chalcogenide glass resists for lithography,”
Chalcogenide Glasses Preparation, Properties and Applications, 562
–596 Woodhead Publishing Limited(2014). Google Scholar
T. Suhara, H. Nishihara and J. Koyama,
“Electron-beam-induced refractive index change of amorphous semiconductors,,”
Jpn. J. Appl. Phys., 14
(7), 1079
–1080
(1975). https://doi.org/10.1143/JJAP.14.1079 Google Scholar
H. Nishihara et al.,
“Direct writing of optical gratings using a scanning electron microscope,”
Appl. Opt., 17 2342
–2345
(1978). https://doi.org/10.1364/AO.17.002342 APOPAI 0003-6935 Google Scholar
Y. Handa et al.,
“Scanning-electron-microscope-written gratings in chalcogenide films for optical integrated circuits,”
Appl. Opt., 18 248
–252
(1979). https://doi.org/10.1364/AO.18.000248 APOPAI 0003-6935 Google Scholar
H. Nishihara et al.,
“Electron-beam directly written micro-gratings for integrated optical circuits,”
Proc. SPIE, 0239 134
–141
(1981). https://doi.org/10.1117/12.959199 PSISDG 0277-786X Google Scholar
J. Teteris and I. Kuzmina,
“Amorphous chalcogenide semiconductor resists for holography and electron-beam lithography,”
Proc. SPIE, 4415 54
–59
(2001). https://doi.org/10.1117/12.425513 PSISDG 0277-786X Google Scholar
J. Teteris,
“Amorphous As–S–Se semiconductor resists for holography and lithography,”
J. Non-Cryst. Solids, 299–302 978
–982
(2002). https://doi.org/10.1016/S0022-3093(01)01126-7 JNCSBJ 0022-3093 Google Scholar
M. Vlcek and H. Jain,
“Nanostructuring of chalcogenide glasses using electron beam lithography,”
J. Optoelectron. Adv. Mater., 8 2108
–2111
(2006). 1454-4164 Google Scholar
J. R. Neilson et al.,
“Fabrication of nano-gratings in arsenic sulphide film,”
J. Non-Cryst. Solids, 353 1427
–1430
(2007). https://doi.org/10.1016/j.jnoncrysol.2006.10.076 JNCSBJ 0022-3093 Google Scholar
K. Tanaka,
“Electron beam induced reliefs in chalcogenide glasses,”
Appl. Phys. Lett., 70 261
–263
(1997). https://doi.org/10.1063/1.118356 APPLAB 0003-6951 Google Scholar
V. Kolbjonoks et al.,
“Fabrication of gratings and optical diffractive elements on chalcogenide thin films,”
Proc. SPIE, 8001 80012P
(2011). https://doi.org/10.1117/12.892173 PSISDG 0277-786X Google Scholar
V. Kolbjonoks et al.,
“Optical grating recording in ChG thin film by electron beam,”
J. Non-Cryst. Solids, 377 169
–171
(2013). https://doi.org/10.1016/j.jnoncrysol.2013.01.053 JNCSBJ 0022-3093 Google Scholar
V. Bilanych et al.,
“Electron-beam induced surface relief shape inversion in amorphous thin films,”
Thin Solid Films, 571 175
–179
(2014). https://doi.org/10.1016/j.tsf.2014.10.067 THSFAP 0040-6090 Google Scholar
S. A. Sergeev, M. S. Iovu and O. V. Iaseniuc,
“Electron-beam recording of patterns in chalcogenide films,”
Proc. SPIE, 9258 92580S
(2015). https://doi.org/10.1117/12.2069974 PSISDG 0277-786X Google Scholar
O. Shylenko et al.,
“Evaluation of sensitivity of and thin films to irradiation with electron beam,”
J. Non-Cryst. Solids, 505 37
–42
(2019). https://doi.org/10.1016/j.jnoncrysol.2018.10.042 JNCSBJ 0022-3093 Google Scholar
A. Kumar, L. K. Malhotra and K. L. Chopra,
“Negative resist behavior of obliquely deposited Ge–Sb–Se thin films,”
J. Vac. Sci. Technol. A, 5 354
–357
(1987). https://doi.org/10.1116/1.574159 JVTAD6 0734-2101 Google Scholar
A. Kumar, L. K. Malhotra and K. L. Chopra,
“Photon and electron beam induced chemical solubility changes in amorphous P–Ge–Se thin films,”
J. Vac. Sci. Technol. A, 6 2431
–2433
(1988). https://doi.org/10.1116/1.575568 JVTAD6 0734-2101 Google Scholar
O. Shiman, V. Gerbreders and A. Gulbis,
“The interaction between electron beam and amorphous chalcogenide films,”
J. Non-Cryst. Solids, 358 1876
–1879
(2012). https://doi.org/10.1016/j.jnoncrysol.2012.05.042 JNCSBJ 0022-3093 Google Scholar
O. Shiman et al.,
“The interaction between electron beam and amorphous chalcogenide films,”
J. Non-Cryst. Solids, 377 165
–168
(2013). https://doi.org/10.1016/j.jnoncrysol.2013.02.031 JNCSBJ 0022-3093 Google Scholar
G. B. Hoffman et al.,
“Relief and trench formation on chalcogenide thin films using electron beams,”
J. Vac. Sci. Technol. B, 26 2478
–2483
(2008). https://doi.org/10.1116/1.3002564 JVTBD9 1071-1023 Google Scholar
A. Yoshikawa et al.,
“A new inorganic electron resist of high contrast,”
Appl. Phys. Lett., 31 161
–163
(1977). https://doi.org/10.1063/1.89624 APPLAB 0003-6951 Google Scholar
K. Balasubramanyam and A. L. Ruoff,
“Oblique deposition enhanced sensitivity in electron beam exposed inorganic resist,”
J. Vac. Sci. Technol., 19 1374
–1378
(1981). https://doi.org/10.1116/1.571213 JVSTAL 0022-5355 Google Scholar
B. Singh et al.,
“Sub-50-nm lithography in amorphous Se-Ge inorganic resist by electron beam exposure,”
Appl. Phys. Lett., 41 1002
–1004
(1982). https://doi.org/10.1063/1.93347 APPLAB 0003-6951 Google Scholar
K. L. Chopra et al.,
“Photon, electron and ion beam induced physical and optical densification in chalcogenide films,”
Bull. Mater. Sci., 6 1013
–1018
(1984). https://doi.org/10.1007/BF02743950 BUMSDW 0250-4707 Google Scholar
A. S. Chen et al.,
“Electron-beam investigation and use of Ge–Se inorganic resist,”
J. Vac. Sci. Technol. B, 4 398
–402
(1986). https://doi.org/10.1116/1.583342 JVTBD9 1071-1023 Google Scholar
J. Ingwersen,
“Investigations on the -inorganic photoresist system for the fabrication of high resolution zone plates,”
X-Ray Microscopy III. Springer Series in Optical Sciences, Springer, Berlin and Heidelberg
(1992). Google Scholar
J. S. Romero, A. G. Fitzgerald and K. Mietzsch,
“Electron beam induced patterns in ,”
J. Optoelectron. Adv. Mater., 3 649
–654
(2001). 1454-4164 Google Scholar
J. S. Romero and A. G. Fitzgerald,
“Electron-beam interactions in amorphous thin films,”
J. Appl. Phys., 93 4517
–4520
(2003). https://doi.org/10.1063/1.1561994 JAPIAU 0021-8979 Google Scholar
B. Singh et al.,
“New inorganic electron resist system for high resolution lithography,”
Appl. Phys. Lett., 41 889
–891
(1982). https://doi.org/10.1063/1.93687 APPLAB 0003-6951 Google Scholar
G. H. Bernstein et al.,
“High-resolution electron beam lithography with negative organic and inorganic resists,”
J. Vac. Sci. Technol. B, 6 2298
–2302
(1988). https://doi.org/10.1116/1.584074 JVTBD9 1071-1023 Google Scholar
K. Mietzsch and A. G. Fitzgerald,
“Electron-beam-induced patterning of thin film arsenic-based chalcogenides,”
Appl. Surf. Sci., 162–163 464
–468
(2000). https://doi.org/10.1016/S0169-4332(00)00234-8 ASUSEE 0169-4332 Google Scholar
G. M. Goldberg et al.,
“A novel high speed electron resist,”
in 1979 Int. Electron Devices Meeting,
62
–64
(1979). https://doi.org/10.1109/IEDM.1979.189540 Google Scholar
R. K. Debnath, A. G. Fitzgerald and N. Nusbar,
“Electron beam fabrication of masks in amorphous metal-chalcogenide bilayers,”
J. Phys.: Conf. Ser., 26 211
–214
(2006). https://doi.org/10.1088/1742-6596/26/1/050 JPCSDZ 1742-6588 Google Scholar
Y.-C. Liang and K. Tada,
“Silver distribution in wet-sensitized films after electron beam exposure,”
J. Appl. Phys., 64 1378
–1383
(1988). https://doi.org/10.1063/1.341863 JAPIAU 0021-8979 Google Scholar
A. G. Fitzgerald,
“The origin of electron beam patterning in silver/amorphous chalcogenide bilayers,”
J. Mater. Sci., 50 2626
–2633
(2015). https://doi.org/10.1007/s10853-015-8849-8 JMTSAS 0022-2461 Google Scholar
M. S. M. Saifullah et al.,
“Spin-coatable resists in electron-beam nanolithography,”
Proc. SPIE, 3678 633
–642
(1999). https://doi.org/10.1117/12.350248 PSISDG 0277-786X Google Scholar
H. Namatsu et al.,
“Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations,”
J. Vac. Sci. Technol. B, 16 69
–76
(1998). https://doi.org/10.1116/1.589837 JVTBD9 1071-1023 Google Scholar
K. Yamazaki et al.,
“Sub-10 nm electron beam lithography with sub-10 nm overlay accuracy,”
Proc. SPIE, 3997 458
–466
(2000). https://doi.org/10.1117/12.390084 PSISDG 0277-786X Google Scholar
M. S. M. Saifullah et al.,
“Sub-10 nm electron beam nanolithography using spin-coatable resists,”
Nano Lett., 3 1587
–1591
(2003). https://doi.org/10.1021/nl034584p NALEFD 1530-6984 Google Scholar
K. R. V. Subramanian et al.,
“Direct writing of on a sub-10 nm scale using an electron beam,”
Nanotechnology, 15 158
–162
(2004). https://doi.org/10.1088/0957-4484/15/1/031 NNOTER 0957-4484 Google Scholar
M. S. M. Saifullah et al.,
“Spin-coatable resist for optical and electron beam lithographies,”
J. Vac. Sci. Technol. B, 28 90
–95
(2010). https://doi.org/10.1116/1.3273536 JVTBD9 1071-1023 Google Scholar
M. S. M. Saifullah et al.,
“Effect of chelating agents on high resolution electron beam nanolithography of spin-coatable alumina gel films,”
Jpn. J. Appl. Phys., 38 7052
–7058
(1999). https://doi.org/10.1143/JJAP.38.7052 Google Scholar
M. S. M. Saifullah, K. Kurihara and C. J. Humphreys,
“Comparative study of sputtered and spin-coatable aluminum oxide electron beam resists,”
J. Vac. Sci. Technol. B, 18 2737
–2744
(2000). https://doi.org/10.1116/1.1323970 JVTBD9 1071-1023 Google Scholar
J. Fujita et al.,
“Nanometer-scale resolution of calixarene negative resist in electron beam lithography,”
J. Vac. Sci. Technol. B, 14 4272
–4276
(1996). https://doi.org/10.1116/1.588589 JVTBD9 1071-1023 Google Scholar
S.-W. Nam et al.,
“Contrast enhancement behavior of hydrogen silsesquioxane in a salty developer,”
J. Vac. Sci. Technol. B, 27 2635
–2639
(2009). https://doi.org/10.1116/1.3245991 JVTBD9 1071-1023 Google Scholar
M. S. M. Saifullah et al.,
“Electron beam nanolithography of β-ketoester modified aluminium tri-sec-butoxide,”
J. Sol-Gel Sci. Technol., 29 5
–10
(2004). https://doi.org/10.1023/B:JSST.0000016131.35342.2f JSGTEC 0928-0707 Google Scholar
K. R. V. Subramanian,
“Spin-coatable oxide resists for electron beam nanolithography,”
University of Cambridge,
(2006). Google Scholar
E. Zanchetta et al.,
“Novel hybrid organic–inorganic spin-on resist for electron- or photon-based nanolithography with outstanding resistance to dry etching,”
Adv. Mater., 25 6261
–6265
(2013). https://doi.org/10.1002/adma.201301555 ADVMEW 0935-9648 Google Scholar
D. Garoli et al.,
“Directly nanopatternable nanoporous titania: application to cell growth engineering,”
Microelectron. Eng., 155 102
–106
(2016). https://doi.org/10.1016/j.mee.2016.03.026 MIENEF 0167-9317 Google Scholar
G. Della Giustina et al.,
“Electron beam lithography of hybrid sol-gel negative resist,”
Microelectron. Eng., 86 745
–748
(2009). https://doi.org/10.1016/j.mee.2008.12.044 MIENEF 0167-9317 Google Scholar
D. Garolia and G. Della Giustina,
“Directly patternable high refractive index ferroelectric sol–gel resist,”
Mater. Chem. Phys., 164 63
–70
(2015). https://doi.org/10.1016/j.matchemphys.2015.08.023 MCHPDR 0254-0584 Google Scholar
W. J. Mitchell and E. L. Hu,
“Selective area chemical vapor deposition of titanium oxide films: characterization of as an electron beam resist,”
J. Vac. Sci. Technol. B, 17 1622
–1626
(1999). https://doi.org/10.1116/1.590801 JVTBD9 1071-1023 Google Scholar
W. J. Mitchell and E. L. Hu,
“In situ electron-beam lithography on GaAs substrates using a metal alkoxide resist,”
Appl. Phys. Lett., 74 1916
–1918
(1999). https://doi.org/10.1063/1.123712 APPLAB 0003-6951 Google Scholar
W. J. Mitchell and E. L. Hu,
“High-resolution in situ electron beam patterning using as a negative-type resist,”
J. Vac. Sci. Technol. B, 20 596
–603
(2002). https://doi.org/10.1116/1.1458954 JVTBD9 1071-1023 Google Scholar
K. Mori and S. Okamura,
“Electron beam-induced structuring of composite oxides by means of dipping pyrolysis of metal naphthenate films,”
Jpn. J. Appl. Phys., 31 L1143
–L1145
(1992). https://doi.org/10.1143/JJAP.31.L1143 Google Scholar
A. Kakimi et al.,
“Fabrication of ferroelectric thin films by dipping pyrolysis of metal naphthenates and micropatterns by an electron beam,”
Jpn. J. Appl. Phys., 33 5301
–5304
(1994). https://doi.org/10.1143/JJAP.33.5301 Google Scholar
S. Okamura et al.,
“Micropatterning of ferroelectric using electron-beam-induced reaction of metal octylate films,”
Jpn. J. Appl. Phys., 35 6579
–6583
(1996). https://doi.org/10.1143/JJAP.35.6579 Google Scholar
M. Alexe et al.,
“Patterning and switching of nanosize ferroelectric memory cells,”
Appl. Phys. Lett., 75 1793
–1795
(1999). https://doi.org/10.1063/1.124822 APPLAB 0003-6951 Google Scholar
M. Alexe et al.,
“100-nm lateral size ferroelectric memory cells fabricated by electron-beam direct writing,”
Appl. Phys. A, 70 247
–251
(2000). https://doi.org/10.1007/s003390050043 Google Scholar
S. Kiyohara et al.,
“Nanopatterning of diamond films with composite oxide mask of metal octylates in electron beam lithography,”
J. Mater. Sci. Mater. Electron., 15 99
–102
(2004). https://doi.org/10.1023/B:JMSE.0000005384.49423.0e JSMEEV 0957-4522 Google Scholar
M. S. M. Saifullah et al.,
“Sub-10 nm high aspect ratio patterning of ZnO in a 500 mm main field,”
J. Vac. Sci. Technol. B, 24 1215
–1218
(2006). https://doi.org/10.1116/1.2192545 JVTBD9 1071-1023 Google Scholar
M. S. M. Saifullah et al.,
“Sub-10 nm high aspect ratio patterning of ZnO by an electron beam,”
Adv. Mater., 17 1757
–1761
(2005). https://doi.org/10.1002/adma.200500484 ADVMEW 0935-9648 Google Scholar
G. A. C. Jones, G. Xiong and D. Anderson,
“Fabrication of nanoscale ZnO field effect transistors using the functional precursor zinc neodecanoate directly as a negative electron beam lithography resist,”
J. Vac. Sci. Technol. B, 27 3164
–3168
(2009). https://doi.org/10.1116/1.3245989 JVTBD9 1071-1023 Google Scholar
N. Tiwale et al.,
“Solution-processed high-performance ZnO nano-FETs fabricated with direct-write electron-beam-lithography-based top-down route,”
Adv. Electron. Mater., 7 2000978
(2021). https://doi.org/10.1002/aelm.202000978 Google Scholar
S. P. Patole et al.,
“Patterned carbon nanotube growth using an electron beam sensitive direct writable catalyst,”
Nanotechnology, 20 315302
(2009). https://doi.org/10.1088/0957-4484/20/31/315302 NNOTER 0957-4484 Google Scholar
M. Nedelcu et al.,
“Fabrication of sub-10 nm metallic lines of low line-width roughness by hydrogen reduction of patterned metal–organic materials,”
Adv. Funct. Mater., 20 2317
–2323
(2010). https://doi.org/10.1002/adfm.201000219 AFMDC6 1616-301X Google Scholar
K. Bi et al.,
“Direct patterning of highly-conductive graphene@copper composites using copper naphthenate as a resist for graphene device applications,”
Nanoscale, 9 16755
–16763
(2017). https://doi.org/10.1039/C7NR05779B NANOHL 2040-3364 Google Scholar
L. Berger et al.,
“Room temperature direct electron beam lithography in a condensed copper carboxylate,”
Micromachines, 12 580
(2021). https://doi.org/10.3390/mi12050580 Google Scholar
T. Bhuvana and G. U. Kulkarni,
“Highly conducting patterned Pd nanowires by direct-write electron beam lithography,”
ACS Nano, 2 457
–462
(2008). https://doi.org/10.1021/nn700372h ANCAC3 1936-0851 Google Scholar
T. J. Stark et al.,
“Electron beam induced metalization of palladium acetate,”
J. Vac. Sci. Technol. B, 9 3475
–3478
(1991). https://doi.org/10.1116/1.585826 JVTBD9 1071-1023 Google Scholar
A. Chaker et al.,
“Nanoscale patterning of zinc oxide from zinc acetate using electron beam lithography for the preparation of hard lithographic masks,”
ACS Appl. Nano Mater., 4 406
–413
(2021). https://doi.org/10.1021/acsanm.0c02756 Google Scholar
M. C. Sharps et al.,
“Organotin carboxylate reagents for nanopatterning: chemical transformations during direct-write electron beam processes,”
Chem. Mater., 31 4840
–4850
(2019). https://doi.org/10.1021/acs.chemmater.9b01440 CMATEX 0897-4756 Google Scholar
J. Stowers and D. A. Keszler,
“High resolution, high sensitivity inorganic resists,”
Microelectron. Eng., 86 730
–733
(2009). https://doi.org/10.1016/j.mee.2008.11.034 MIENEF 0167-9317 Google Scholar
A. Telecky et al.,
“Photopatternable inorganic hardmask,”
J. Vac. Sci. Technol. B, 28 C6S19
–C6S22
(2010). https://doi.org/10.1116/1.3507889 JVTBD9 1071-1023 Google Scholar
K. C. Fairley et al.,
“Sub-30 keV patterning of HafSOx resist: effects of voltage on resolution, contrast, and sensitivity,”
J. Vac. Sci. Technol. B, 34 041607
(2016). https://doi.org/10.1116/1.4954394 JVTBD9 1071-1023 Google Scholar
N. Kenane and D. A. Keszler,
“High-resolution lithographic patterning with organotin films: role of in differential dissolution rates,”
ACS Appl. Mater. Interfaces, 13 18974
–18983
(2021). https://doi.org/10.1021/acsami.0c21942 AAMICK 1944-8244 Google Scholar
J. K. Stowers et al.,
“Directly patterned inorganic hardmask for EUV lithography,”
Proc. SPIE, 7969 796915
(2011). https://doi.org/10.1117/12.879542 PSISDG 0277-786X Google Scholar
A. Grenville et al.,
“Integrated fab process for metal oxide EUV photoresist,”
Proc. SPIE, 9425 94250S
(2015). https://doi.org/10.1117/12.2086006 PSISDG 0277-786X Google Scholar
Y. Zhang et al.,
“Dual-tone application of a tin-oxo cage photoresist under e-beam and EUV exposure,”
J. Photopolym. Sci. Technol., 31 249
–255
(2018). https://doi.org/10.2494/photopolymer.31.249 JSTEEW 0914-9244 Google Scholar
M. Li et al.,
“Novel Sn-based photoresist for high aspect ratio patterning,”
Proc. SPIE, 10586 105860K
(2018). https://doi.org/10.1117/12.2297440 PSISDG 0277-786X Google Scholar
R. T. Frederick et al.,
“Thermal and radiation chemistry of butyltin oxo hydroxo: a model inorganic photoresist,”
Microelectron. Eng., 205 26
–31
(2019). https://doi.org/10.1016/j.mee.2018.11.011 MIENEF 0167-9317 Google Scholar
L. Wu et al.,
“Hybrid EUV resists with mixed organic shells: a simple preparation method,”
Eur. J. Inorg. Chem., 2019 4136
–4141
(2019). https://doi.org/10.1002/ejic.201900745 EJICFO 1434-1948 Google Scholar
L. Wu et al.,
“Mechanistic insights in Zr- and Hf-based molecular hybrid EUV photoresists,”
J. Micro. Nanolithogr. MEMS MOEMS, 18 013504
(2019). https://doi.org/10.1117/1.JMM.18.1.013504 Google Scholar
N. Thakur et al.,
“Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters,”
J. Micro. Nanolithogr. MEMS MOEMS, 18 043504
(2019). https://doi.org/10.1117/1.JMM.18.4.043504 Google Scholar
N. Thakur et al.,
“Mixed-ligand zinc-oxoclusters: efficient chemistry for high resolution nanolithography,”
J. Mater. Chem. C, 8 14499
–14506
(2020). https://doi.org/10.1039/D0TC03597A Google Scholar
L. Wu et al.,
“Unravelling the effect of fluorinated ligands in hybrid EUV photoresists by x-ray spectroscopy,”
J. Mater. Chem. C, 8 14757
–14765
(2020). https://doi.org/10.1039/D0TC03216F Google Scholar
R. Kumar et al.,
“Development of nickel-based negative tone metal oxide cluster resists for sub-10 nm electron beam and helium ion beam lithography,”
ACS Appl. Mater. Interfaces, 12 19616
–19624
(2020). https://doi.org/10.1021/acsami.9b21414 AAMICK 1944-8244 Google Scholar
B. Radha and G. U. Kulkarni,
“Patterned synthesis of : chemically robust electrodes and conducting etch masks,”
Adv. Funct. Mater., 20 879
–884
(2010). https://doi.org/10.1002/adfm.200901766 AFMDC6 1616-301X Google Scholar
M. S. M. Saifullah et al.,
“Direct patterning of zinc sulfide on a sub-10 nanometer scale via electron beam lithography,”
ACS Nano, 11 9920
–9929
(2017). https://doi.org/10.1021/acsnano.7b03951 ANCAC3 1936-0851 Google Scholar
J. Recatala-Gomez et al.,
“Thermoelectric properties of sub-stoichiometric electron beam patterned bismuth sulfide thin films,”
ACS Appl. Mater. Interfaces, 12 33647
–33655
(2020). https://doi.org/10.1021/acsami.0c06829 AAMICK 1944-8244 Google Scholar
M. S. M. Saifullah et al.,
“Room temperature patterning of nanoscale under an electron beam,”
ACS Appl. Mater. Interfaces, 12 16772
–16781
(2020). https://doi.org/10.1021/acsami.9b22229 AAMICK 1944-8244 Google Scholar
T. Rath et al.,
“Direct extreme UV-lithographic conversion of metal xanthates into nanostructured metal sulfide layers for hybrid photovoltaics,”
J. Mater. Chem. A, 1 11135
–11140
(2013). https://doi.org/10.1039/c3ta12592k Google Scholar
W. Wang, P. Pfeiffer and L. Schmidt-Mende,
“Direct patterning of metal chalcogenide semiconductor materials,”
Adv. Funct. Mater., 30 2002685
(2020). https://doi.org/10.1002/adfm.202002685 AFMDC6 1616-301X Google Scholar
D. J. Webb and M. Hatzakis,
“Metal methacrylates as sensitizers for poly methyl methacrylate electron resists,”
J. Vac. Sci. Technol., 16 2008
–2013
(1979). https://doi.org/10.1116/1.570331 JVSTAL 0022-5355 Google Scholar
H. G. Craighead and L. M. Schiavone,
“Metal deposition by electron beam exposure of an organometallic film,”
Appl. Phys. Lett., 48 1748
–1750
(1986). https://doi.org/10.1063/1.96823 APPLAB 0003-6951 Google Scholar
C. M. Chuang et al.,
“Nanolithography made from water-based spin-coatable LSMO resist,”
Nanotechnology, 17 4399
–4404
(2006). https://doi.org/10.1088/0957-4484/17/17/019 NNOTER 0957-4484 Google Scholar
M. C. Wu et al.,
“Fabrication and optical properties of periodical structures based on a water-developable and tunable resist,”
J. Mater. Chem., 18 780
–785
(2008). https://doi.org/10.1039/b714653a JMACEP 0959-9428 Google Scholar
R. Abargues et al.,
“High-resolution electron-beam patternable nanocomposite containing metalnanoparticles for plasmonics,”
Nanotechnology, 19 355308
(2008). https://doi.org/10.1088/0957-4484/19/35/355308 NNOTER 0957-4484 Google Scholar
J. Marqués-Hueso et al.,
“Au-PVA nanocomposite negative resist for one-step three-dimensional e-beam lithography,”
Langmuir, 26 2825
–2830
(2010). https://doi.org/10.1021/la902915n LANGD5 0743-7463 Google Scholar
B-M. Lee et al.,
“Patterning of polymer nanocomposite resists containing metal nanoparticles by electron beam lithography,”
J. Nanosci. Nanotechnol., 11 7390
–7393
(2011). https://doi.org/10.1166/jnn.2011.4803 JNNOAR 1533-4880 Google Scholar
K. Bi et al.,
“Direct electron-beam patterning of transferrable plasmonic gold nanoparticles using a composite resist,”
Nanoscale, 11 1245
–1252
(2019). https://doi.org/10.1039/C8NR09254K NANOHL 2040-3364 Google Scholar
M. G. Moinuddin et al.,
“Functionalized Ag nanoparticles embedded in polymer resists for high-resolution lithography,”
Appl. Nano Mater., 3 8651
–8661
(2020). https://doi.org/10.1021/acsanm.0c01362 Google Scholar
B-Y. Zong et al.,
“A general approach to semimetallic, ultra-high-resolution, electron-beam resists,”
Adv. Funct. Mater., 19 1437
–1443
(2009). https://doi.org/10.1002/adfm.200800939 AFMDC6 1616-301X Google Scholar
C. Con, J. Zhang and B. Cui,
“Nanofabrication of high aspect ratio structures using an evaporated resist containing metal,”
Nanotechnology, 25 175301
(2014). https://doi.org/10.1088/0957-4484/25/17/175301 NNOTER 0957-4484 Google Scholar
L. F. Thompson et al.,
“Polymeric resists for x-ray lithography,”
J. Electrochem. Soc., 121 1500
–1503
(1974). https://doi.org/10.1149/1.2401718 JESOAN 0013-4651 Google Scholar
M. J. MacLachlan et al.,
“Shaped ceramics with tunable magnetic properties from metal-containing polymers,”
Science, 287 1460
–1463
(2000). https://doi.org/10.1126/science.287.5457.1460 SCIEAS 0036-8075 Google Scholar
S. B. Clendenning et al.,
“Direct writing of patterned ceramics using electron-beam lithography and metallopolymer resists,”
Adv. Mater., 16 215
–219
(2004). https://doi.org/10.1002/adma.200305740 ADVMEW 0935-9648 Google Scholar
W. Y. Chan et al.,
“Highly metallized polymers: synthesis, characterization, and lithographic patterning of polyferrocenylsilanes with pendant cobalt, molybdenum, and nickel cluster substituents,”
J. Am. Chem. Soc., 127 1765
–1772
(2005). https://doi.org/10.1021/ja045386i JACSAT 0002-7863 Google Scholar
J. Zhang et al.,
“Metal-carbonyl organometallic polymers, PFpP, as resists for high-resolution positive and negative electron beam lithography,”
Chem. Commun., 51 17592
–17595
(2015). https://doi.org/10.1039/C5CC07117H Google Scholar
J. Peter et al.,
“Organotin in nonchemically amplified polymeric hybrid resist imparts better resolution with sensitivity for next-generation lithography,”
ACS Appl. Polym. Mater., 2 1790
–1799
(2020). https://doi.org/10.1021/acsapm.0c00005 Google Scholar
A. S. Abbas et al.,
“Water soluble and metal-containing electron beam resist poly(sodium 4-styrenesulfonate),”
Mater. Res. Express, 1 045102
(2014). https://doi.org/10.1088/2053-1591/1/4/045102 Google Scholar
M. T. Reetz et al.,
“Fabrication of metallic and bimetallic nanostructures by electron beam induced metallization of surfactant stabilized Pd and Pd/Pt clusters,”
J. Am. Chem. Soc., 119 4539
–4540
(1997). https://doi.org/10.1021/ja970054h JACSAT 0002-7863 Google Scholar
J. Lohau et al.,
“Electron-beam lithography with metal colloids: direct writing of metallic nanostructures,”
J. Vac. Sci. Technol. B, 16 77
–79
(1998). https://doi.org/10.1116/1.589838 JVTBD9 1071-1023 Google Scholar
T. R. Bedson et al.,
“Quantitative evaluation of electron beam writing in passivated gold nanoclusters,”
Appl. Phys. Lett., 78 1921
–1923
(2001). https://doi.org/10.1063/1.1354154 APPLAB 0003-6951 Google Scholar
X. M. Lin, R. Parthasarathy and H. M. Jaeger,
“Direct patterning of self-assembled nanocrystal monolayers by electron beams,”
Appl. Phys. Lett., 78 1915
–1917
(2001). https://doi.org/10.1063/1.1358363 APPLAB 0003-6951 Google Scholar
T. R. Bedson, R. E. Palmer and J. P. Wilcoxon,
“Mechanism of electron-beam writing in passivated gold nanoclusters,”
Appl. Phys. Lett., 78 2061
–2063
(2001). https://doi.org/10.1063/1.1357210 APPLAB 0003-6951 Google Scholar
T. R. Bedson, R. E. Palmer and J. P. Wilcoxon,
“Electron beam lithography in passivated gold nanoclusters,”
Microelectron. Eng., 57–58 837
–841
(2001). https://doi.org/10.1016/S0167-9317(01)00562-7 MIENEF 0167-9317 Google Scholar
B. F. G. Johnson et al.,
“Electron-beam induced formation of nanoparticle chains and wires from a ruthenium cluster polymer,”
Chem. Commun., 2000 1317
–1318
(2000). https://doi.org/10.1039/a909863a Google Scholar
M. H. V. Werts et al.,
“Nanometer scale patterning of Langmuir–Blodgett films of gold nanoparticles by electron beam lithography,”
Nano Lett., 2 43
–47
(2002). https://doi.org/10.1021/nl015629u NALEFD 1530-6984 Google Scholar
C. Y. Ouyang et al.,
“Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography,”
Proc. SPIE, 8682 86820R
(2013). https://doi.org/10.1117/12.2011282 PSISDG 0277-786X Google Scholar
K. Kasahara et al.,
“Nanoparticle photoresist studies for EUV lithography,”
Proc. SPIE, 10143 1014308
(2017). https://doi.org/10.1117/12.2258187 PSISDG 0277-786X Google Scholar
V. Nandwana et al.,
“Direct patterning of quantum dot nanostructures via electron beam lithography,”
J. Mater. Chem., 21 16859
–16862
(2011). https://doi.org/10.1039/c1jm11782c JMACEP 0959-9428 Google Scholar
D. B. Dement, M. K. Quan and V. E. Ferry,
“Nanoscale patterning of colloidal nanocrystal films for nanophotonic applications using direct write electron beam lithography,”
ACS Appl. Mater. Interfaces, 11 14970
–14979
(2019). https://doi.org/10.1021/acsami.9b01159 AAMICK 1944-8244 Google Scholar
Y. Wang et al.,
“Direct wavelength-selective optical and electron-beam lithography of functional inorganic nanomaterials,”
ACS Nano, 13 13917
–13931
(2019). https://doi.org/10.1021/acsnano.9b05491 ANCAC3 1936-0851 Google Scholar
C. D. Dieleman et al.,
“Universal direct patterning of colloidal quantum dots by (extreme) ultraviolet and electron beam lithography,”
Nanoscale, 12 11306
–11316
(2020). https://doi.org/10.1039/D0NR01077D NANOHL 2040-3364 Google Scholar
C. D. Dieleman et al.,
“Direct patterning of nanocrystals via electron-beam lithography,”
ACS Appl. Energy Mater., 5 1672
–1680
(2022). https://doi.org/10.1021/acsaem.1c03091 Google Scholar
M. Wang, W. Yueh and K. E. Gonsalves,
“New anionic photoacid generator bound polymer resists for EUV lithography,”
Macromolecules, 40 8220
–8224
(2007). https://doi.org/10.1021/ma0715066 MAMOBX 0024-9297 Google Scholar
J. W. Thackeray et al.,
“Optimization of polymer-bound PAG (PBP) for 20 nm EUV lithography,”
J. Photopolym. Sci. Technol., 24 179
–183
(2011). https://doi.org/10.2494/photopolymer.24.179 JSTEEW 0914-9244 Google Scholar
P. G. Reddy et al.,
“Ferrocene bearing non-ionic poly-aryl tosylates: synthesis, characterization and electron beam lithography applications,”
J. Photopolym. Sci. Technol., 31 669
–678
(2018). https://doi.org/10.2494/photopolymer.31.669 JSTEEW 0914-9244 Google Scholar
V. S. V. Satyanarayana et al.,
“A hybrid polymeric material bearing a ferrocene-based pendant organometallic functionality: synthesis and applications in nanopatterning using EUV lithography,”
RSC Adv., 4 59817
(2014). https://doi.org/10.1039/C4RA10648B Google Scholar
V. Kalyani et al.,
“New polyoxometalates containing hybrid polymers and their potential for nano-patterning,”
Chem. Eur. J., 21 2250
–2258
(2015). https://doi.org/10.1002/chem.201405369 CEUJED 0947-6539 Google Scholar
S. K. Sharma et al.,
“Focusing on nanoparticles-based photomultiplier in n-CARs,”
Proc. SPIE, 11326 113261C
(2020). https://doi.org/10.1117/12.2552190 PSISDG 0277-786X Google Scholar
C. Dimitrakakis et al.,
“Top-down patterning of zeolitic imidazolate framework composite thin films by deep x-ray lithography,”
Chem. Commun., 48 7483
–7485
(2012). https://doi.org/10.1039/c2cc33292b Google Scholar
H. Xu et al.,
“Metal–organic framework-inspired metal-containing clusters for high-resolution patterning,”
Chem. Mater., 30 4124
–4133
(2018). https://doi.org/10.1021/acs.chemmater.8b01573 CMATEX 0897-4756 Google Scholar
M. Tu et al.,
“Direct x-ray and electron-beam lithography of halogenated zeolitic imidazolate frameworks,”
Nat. Mater., 20 93
–99
(2021). https://doi.org/10.1038/s41563-020-00827-x NMAACR 1476-1122 Google Scholar
N. Tiwale et al.,
“Advancing next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists,”
J. Mater. Chem. C, 7 8803
–8812
(2019). https://doi.org/10.1039/C9TC02974E Google Scholar
N. Tiwale et al.,
“Infiltration synthesis of hybrid nanocomposite resists for advanced nanolithography,”
Proc. SPIE, 11326 113260J
(2020). https://doi.org/10.1117/12.2552164 PSISDG 0277-786X Google Scholar
S. M. Hwang et al.,
“Photochemical study of metal infiltrated e-beam resist using vapor-phase infiltration for EUV applications,”
Proc. SPIE, 11854 118541C
(2021). https://doi.org/10.1117/12.2601033 PSISDG 0277-786X Google Scholar
N. Tiwale et al.,
“Hybrid resist synthesis by ex-situ vapor-phase infiltration of metal oxides into conventional organic resists,”
Proc. SPIE, 11612 116120A
(2021). https://doi.org/10.1117/12.2583908 PSISDG 0277-786X Google Scholar
M. Yasuda et al.,
“Computational study of pattern formation for chemically amplified resists in extreme ultraviolet lithography,”
J. Photopolym. Sci. Technol., 32 339
(2019). https://doi.org/10.2494/photopolymer.32.339 JSTEEW 0914-9244 Google Scholar
M. S. M. Saifullah et al.,
“Approaching the resolution limit of commercial electron beam lithography – luminescent 4 nm CdS ensemble patterned using a low molecular weight resist,”
(2021). Google Scholar
B. Cardineau,
“Chapter 11–Molecular organometallic resists for EUV (MORE),”
Frontiers of Nanoscience, 377
–420 Elsevier, Amsterdam
(2016). Google Scholar
J. Passarelli et al.,
“High-sensitivity molecular organometallic resist for EUV (MORE),”
Proc. SPIE, 9425 94250T
(2015). https://doi.org/10.1117/12.2086599 PSISDG 0277-786X Google Scholar
J. Passarelli et al.,
“Organometallic carboxylate resists for extreme ultraviolet with high sensitivity,”
J. Micro. Nanolithogr. MEMS MOEMS, 14 043503
(2015). https://doi.org/10.1117/1.JMM.14.4.043503 Google Scholar
R. Del Re et al.,
“Low-line edge roughness extreme ultraviolet photoresists of organotin carboxylates,”
J. Micro. Nanolithogr. MEMS MOEMS, 14 043506
(2015). https://doi.org/10.1117/1.JMM.14.4.043506 Google Scholar
M. Sortland et al.,
“Platinum and palladium oxalates: positive-tone extreme ultraviolet resists,”
J. Micro. Nanolithogr. MEMS MOEMS, 14 043511
(2015). https://doi.org/10.1117/1.JMM.14.4.043511 Google Scholar
J. W. Thackeray,
“Materials challenges for sub-20-nm lithography,”
J. Micro. Nanolithogr. MEMS MOEMS, 10 033009
(2011). https://doi.org/10.1117/1.3616067 Google Scholar
H. J. Levinson,
“The potential of EUV lithography,”
Proc. SPIE, 11177 1117702
(2019). https://doi.org/10.1117/12.2528446 PSISDG 0277-786X Google Scholar
R. S. Wise,
“Breaking stochastic tradeoffs with a dry deposited and dry developed EUV photoresist system,”
Proc. SPIE, 11612 1161203
(2021). https://doi.org/10.1117/12.2585004 PSISDG 0277-786X Google Scholar
J.-H. Franke et al.,
“Tomorrow’s pitches on today’s 0.33 NA scanner: pupil and imaging conditions to print P24 L/S and P28 contact holes,”
Proc. SPIE, 11517 1151716
(2021). https://doi.org/10.1117/12.2573073 PSISDG 0277-786X Google Scholar
J. G. Santaclara et al.,
“Today’s scorecard for tomorrow’s photoresist: progress and outlook towards High-NA EUV lithography,”
Proc. SPIE, 11612 1161204
(2021). https://doi.org/10.1117/12.2586645 PSISDG 0277-786X Google Scholar
V. R. Manfrinato et al.,
“Aberration-corrected electron beam lithography at the one nanometer length scale,”
Nano Lett., 17 4562
–4567
(2017). https://doi.org/10.1021/acs.nanolett.7b00514 NALEFD 1530-6984 Google Scholar
BiographyMohammad S. M. Saifullah is a scientist at the Laboratory for Micro and Nanotechnology, Paul Scherrer Institute, Switzerland. He received his MEng degree from Department of Metallurgy, Indian Institute of Science, Bangalore, India, with a first class with distinction, in 1994. After receiving the prestigious Cambridge Nehru Scholarship, he joined the Department of Materials Science and Metallurgy, University of Cambridge, for his PhD, where he investigated metal fluorides and metal oxides for their suitability as high-resolution resists for electron and focused ion beam lithographies. After receiving his PhD in 1997, he worked at the NTT Basic Research Laboratories, Atsugi-shi (Japan), for 2 years as a researcher where he developed spin-coatable metal oxide resists capable of single digit nanoscale patterning using electron beam lithography. This was followed by demonstration of sub-10 nm direct electron beam patterning of metal sulfides at the Institute of Materials Research and Engineering (A*STAR), Singapore. He is currently developing innovative molecular resists for EUV lithography. Nikhil Tiwale is a scientific associate in Electronic Nanomaterials Group at the Center for Functional Nanomaterials, Brookhaven National Laboratory. He received his BTech degree in metallurgical engineering and materials science, and his MTech degree in ceramics and composites at the Indian Institute of Technology Bombay in 2012. He pursued his PhD on direct-write electron beam lithography of ZnO nano-FETs and gas sensors under the supervision of Professor Sir Mark Welland at the University of Cambridge, sponsored by the Cambridge Trusts. After completing his PhD in 2017, he worked as silicon process engineer at Adaptix Ltd. He pursued postdoctoral research over 2018 to 2021 working with Dr. Chang-Yong Nam developing infiltration synthesis of hybrid EUV resists and fabrication of nanoelectronic devices. Ramakrishnan Ganesan is an associate professor at the Department of Chemistry, Birla Institute of Technology and Science, Pilani, Hyderabad, India. He received his master’s degree from Anna University, Chennai, in 2002. He received his PhD from Korea Advanced Institute of Science and Technology in 2006 under the supervision of Professor Jin-Baek Kim. During his PhD, he worked on polymeric and molecular resists for deep UV lithography and biomolecular patterning applications. He then worked at Helmholtz-Zentrum Geesthacht, Teltow, Germany, during the period of April 2008 to January 2010, where he developed polymeric materials with a special emphasis on surface functionalization toward surface endothelialization. After this, he joined as a scientist at the Institute of Materials Research and Engineering (A*STAR), Singapore. There he developed metal-containing resists for thermal and UV-mediated direct nanoimprinting of functional oxides and metals. Currently, he is actively researching in designing and developing materials for nanofabrication, photocatalysis, antimicrobial surfaces, enzymatic polymer degradation, and biosensing. |