14 February 2024 Curvilinear mask process correction embedded on multi-beam mask writer
Author Affiliations +
Abstract

With the advent of inverse lithography technology, the landscape of electron beam lithography has undergone a paradigm shift, transitioning from a single variable-shaped beam to a multi-beam writer. Conversely, in the realm of mask process correction (MPC), the majority of techniques continue to depend on the manipulation of figures and edges to adjust shape boundaries. We have developed a MPC system that is integrated within the multi-beam writer. This system leverages the rasterized pixel data for exposure, which is conventionally accessible within the writer itself. We describe how our inline MPC works in the pixel domain instead of geometry domain to improve pattern fidelity of curvilinear shapes without additional turnaround time.

© 2024 Society of Photo-Optical Instrumentation Engineers (SPIE)
Noriaki Nakayamada, Haruyuki Nomura, Yasuo Kato, Kenichi Yasui, Abhishek Shendre, Nagesh Shirali, Yukihiro Masuda, and Aki Fujimura "Curvilinear mask process correction embedded on multi-beam mask writer," Journal of Micro/Nanopatterning, Materials, and Metrology 23(1), 011206 (14 February 2024). https://doi.org/10.1117/1.JMM.23.1.011206
Received: 31 October 2023; Accepted: 23 January 2024; Published: 14 February 2024
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Design

Etching

Vestigial sideband modulation

Dose control

Printing

Bias correction

Raster graphics

Back to Top