Open Access
29 October 2024 Challenges and limits to patterning using extreme ultraviolet lithography
Author Affiliations +
Abstract

With high-numerical aperture extreme ultraviolet (EUV) exposure tools soon to be available for utilization by chip makers, the factors that could limit the useable resolution of these systems are considered, to address these potential limitations and thereby enable patterning close to the theoretical optical resolution limit. Extended volumes of solubility-switched resist around the absorption sites of individual photons will limit resolution and lead to large line-edge roughness. If the volumes of chemically converted resist are small, there need to be many such volumes to provide continuous paths for development. Hence, the matters of exposure dose and molecular considerations are not fully separable. New resist architectures will be needed to avoid extremely high exposure doses to pattern features below 10-nm half-pitch. More energy-efficient EUV light sources will be needed. Computational lithography must account for a multiplicity of issues, necessitating increased automation of mask pattern optimization.

1.

Introduction

Decades ago, during the debates on what next-generation lithography would succeed optical lithography, most points of contention involved issues of practicality, such as wafer heating in projection electron-beam exposure systems1 or the manufacturability of 1× X-ray masks.2 After more than two decades of additional scaling since those debates, feature sizes have become so small that considerations now involve more fundamental issues at the quantum level. A well-known example of this is photon shot noise in extreme ultraviolet (EUV) lithography.3 This and some additional sources of stochastic variation will be discussed in this paper. The inhomogeneity of resist materials at the molecular level has been discussed previously4 and will not be discussed in detail in this paper. In addition, the detailed interactions of EUV light with mask absorbers and multilayers need to be addressed to extend EUV lithography. In this paper, a look is taken at how fundamental issues and interactions are creating challenges to further scaling near term and will ultimately limit scaling long term.

The central piece of equipment needed for the extension of EUV lithography from current technology—an exposure tool with a numerical aperture of 0.55—is being enabled by ASML and Carl Zeiss. From the information released to date by these companies, these tools appear to be very impressive feats of engineering. In support of throughput, imaging, and overlay, wafer stage accelerations of 100  m/s2 have been demonstrated, with moving standard deviations (MSDs) <0.45  nm and moving averages (MAs) <0.5  nm. Lens wavefront aberrations are <0.23  nmrms.5 Achieving this level of aberrations requires mirror surfaces that are likely the most precisely shaped surfaces ever made. This is particularly impressive considering the size of the mirrors (Fig. 1). Nevertheless, this accomplishment needs to be put into perspective. The impact of aberrations on lithographic patterning is inversely proportional to the wavelength of the light. For immersion lithography, aberrations <1  nm have been achieved or <5 milliwaves. For EUV lithography, 0.23 nm of aberrations represents 17 milliwaves, a higher level of aberrations than achieved for optical lithography. Consequently, aberrations have a more significant impact on EUV lithography than on optical lithography, affecting critical dimensions and particularly pattern placement. In addition, flare specifications for ASML’s 0.55 numerical aperture (NA) tool are <2.3%, indicating that flare will be significant for high-NA EUV lithography. Although the mirror surfaces are exceedingly smooth over a wide range of spatial frequencies, the large areas of the high-NA lens mirrors provide more surface area for scattering light than do the surfaces of 0.33 NA lenses. Hence, there is an appreciable flare in high-NA exposure tools. For additional information on high-NA EUV exposure tools, readers are referred to publications by ASML and Zeiss for publicly accessible information.5,6 With high-performance optics and supporting mechatronics apparently soon to be available, issues related to high-NA EUV lithography other than the exposure tool merit attention.

Fig. 1

Photograph of a mirror for a high-NA EUV lens, mounted in a robotic handling system.6 The worker standing next to the mirror indicates the size of the mirror, which is >1  m in diameter.9

JM3_24_1_011005_f001.png

2.

Sizes of Molecules in Resist

Consider the tin-oxide clusters that have been used for making high-performance metal-oxide EUV resists (Fig. 2). Such tin-oxide cluster resists can vary in detail, based on what particular ligands and counter-ions are used to formulate the resists. One such tin-oxide cluster was crystallized, enabling measurement of the distances between molecules. The smallest distance between the centers of the metal-oxide clusters was found to be 1.8  nm.7 This represents a substantial fraction of the minimum ½-pitch (8 nm), which is the target of high-NA EUV lithography, and it is more than twice the line-edge roughness (LER) requirement (0.8  nm, beginning in the year 2028) in the International Roadmap for Devices and Systems (IRDS).8 It does appear that smaller molecular building blocks will be needed to meet the resolution and LER targets of high-NA EUV lithography and will certainly need to be smaller for the extension of EUV lithography to <8  nm ½-pitch.

Fig. 2

Illustration of a tin-oxide cluster that forms the basis for many metal-oxide EUV resists.10

JM3_24_1_011005_f002.png

3..

Role of Electrons in the Radiation Chemistry of EUV Resists

Smaller molecular building blocks are necessary, but not sufficient, to ensure that future lithographic requirements are met. Another factor of importance is the size of the volume of resist around a site of EUV photon absorption that is ultimately modified chemically, in terms of solubility in the developer, as a consequence of exposure. It is well understood that the chemical reactions in EUV resists are driven primarily by secondary electrons created from the scattering of photoelectrons (and to some extent, Auger electrons) created by the initial absorption of EUV photons (Fig. 3). These electrons propagate distances that depend on the material composition of the resist and the energy of the electrons. Shown in Fig. 4 are Monte Carlo calculations, using Prolith, of electron scattering and the resulting photoproducts as distances from the center of photon absorption for two “generic” resists in the Prolith™ 2023b library of lithographic materials. As can be seen, electron scattering can extend multiple nanometers in chemically amplified resists and can extend shorter distances in metal-oxide resists but still over a nanometer from the site of the photon absorption. Because chemical reactions are driven by lower energy electrons, photoproducts are generated after electrons have scattered and lost energy or have generated additional electrons through scattering. Accordingly, few photoproducts are actually generated close to the site of photon absorption.

Fig. 3

Illustration of the generation of secondary electrons and the resulting resist chemistry, following absorption of EUV photons.11 Ie is the ionization energy of the molecule that absorbs the photon.

JM3_24_1_011005_f003.png

Fig. 4

The number of electron scattering events and creations of photoproducts as a function of the distance from the site of photon absorption in 35-nm-thick films of EUV resists. These results were produced from Monte Carlo calculations using Prolith™ 2023b and generic models for advanced EUV resists therein. There were 2000 incident photons for each Monte Carlo simulation.

JM3_24_1_011005_f004.png

Long propagation distances can limit resolution and lead to large LER but some propagation is necessary for creating continuous volumes of resistance in which the solubility (in developer) has been switched as a consequence of the exposure to EUV light (and possibly a post-exposure bake). This is illustrated in Fig. 5. The sites of photon absorption can be connected into continuous sections in which resist molecules have undergone a chemical conversion that changes their solubility in developer12 [Fig. 5(b)]. In chemically amplified resists, this is due in part to photoacid diffusion, and in all EUV resists, radiation chemistry occurs over extended volumes that scale with the scattering distances of electrons. If the volumes of solubility switched resist are small [Fig. 5(c)], there need to be many such volumes to create patterns in resist of the desired sizes. Each volume is associated with the absorption of a single EUV photon, so the creation of many volumes requires the absorption of many photons when such volumes are small. Hence, resists that are composed of small molecules and in which electron scattering has a limited range necessarily must have high exposure doses.

Fig. 5

Illustration of connecting the sites where photons are absorbed (a) into a continuous region of solubility-switched resist (b) that can form a feature. If the volume around the site of photon absorption is too small (c), then a continuous region of solubility-switched resist does not result.

JM3_24_1_011005_f005.png

A rough estimate can be made of the required exposure doses to create continuous volumes of resist by dividing the resist into equally sized small volumes that correspond to extended volumes of solubility-switched resist surrounding sites of photon absorption. We assumed for the purpose of this estimation that one, and only one, photon is absorbed in each volume. The volumes are rectangular solids that have a square base of a given length on each side. In one situation (3D), the height is equal to the length of the base or slightly larger so that a stack consisting of an integral number of rectangular solids will have the same overall height as the resist thickness (Fig. 6). In another situation (2D), the height of each rectangular solid is the thickness of the resist. An “exposure dose” is calculated as the number of photons equal to the number of such rectangular solids in a total volume of 1  cm×1  cm×20  nm, divided by the resist absorption, which is taken as 0.63. This corresponds to a resist absorptivity = 1resist thickness. Such absorptivity is higher than can be realized by existing resist materials, but was chosen because it has the advantage of leading to maximum optical absorption at the bottom of the resist.

Fig. 6

Two methods of dividing a resist film into equally sized rectangular solids. In the 3D situation, the rectangular solids are cubes or approximately cubes that are stacked in the vertical dimension. For the 2D case, each solid extends along the thickness of the resist.

JM3_24_1_011005_f006.png

For photons with λ=13.5  nm, the resulting dose, in mJ/cm2, is shown in Fig. 7 as a function of the length of each rectangular solid. As can be seen from the 3D curve in Fig. 7, the dose increases significantly for lengths <1  nm. This suggests that very high exposure doses will be required to expose resists composed of molecules that are small in all three directions and in which electrons travel short distances. A conclusion of the need for high exposure doses had been reached previously, based on arguments that variation and defects due to photon shot noise will need to be contained, and this requires high doses.13

Fig. 7

Dose versus the length of the rectangular solids illustrated in Fig. 4. It is assumed that one EUV photon on average is absorbed per rectangular solid. The doses required to address photon shot noise are a separate consideration.

JM3_24_1_011005_f007.png

Vertically tailored resists may provide a path for addressing this explosion in exposure dose14,15 (Fig. 8). These resists are structured vertically, with each level providing an important function, such as etch resistance, high absorption at EUV wavelengths, and strong reactivity. In the past, chemically amplified resists have been designed with separate functions provided by different constituents,4 but this approach led to large molecules. The vertically tailored resists solve this problem by allowing for long lengths in the vertical direction but not laterally. Vertically tailored resists have the potential to take the scaling problem illustrated in Fig. 7 from a situation in which dose varies as the inverse cube of the length of the relevant volume for exposure to one that scales as the inverse square of the length, i.e., the 2D curve in Fig. 7. Dose requirements imposed by the need to contain photon shot noise remain, and these may be higher than the doses shown in Fig. 7.

Fig. 8

Illustration of a vertically tailored resist.

JM3_24_1_011005_f008.png

For conventional (non-vertically tailored) resists, LER may be reduced after pattern transfer into etched features.16 This may be due, in part, to the conversion of 3D roughness17 on the sidewalls of developed resist patterns to quasi-2D roughness in the etched features.18 Further work is needed to understand better how LER transfers through etch when using vertically tailored resists.

4..

Future EUV Light Sources

From considerations of photon shot noise, exposure doses approaching 100  mJ/cm2 or higher are expected in the near future, so high-power EUV light sources will be needed to maintain productivity. ASML has projected availability in the future of laser-produced plasma (LPP) light sources up to 1 kW of in-band power (±1%) at intermediate focus.19 In recent years, output has been increased to 600 W by increasing the efficiency of infrared to EUV light conversion and improving source stability.20 As theoretical maxima for efficiency and stability are approached, other methods are needed to increase EUV light output. One approach is to increase the repetition rate of the CO2 drive laser. However, this will lead to higher electricity consumption, which is already a concern. Estimates for conversion of electrical to EUV power in CO2 laser-driven LPP sources range from 1.3 to 1.8 kW of electricity to produce 1 W of EUV light.21 Accordingly, light sources that provide 1 kW of EUV light will require 1.3 to 1.8 MW of electricity per exposure tool.

At least two potential paths have been identified for more environmentally friendly production of EUV light than LPP sources with CO2 drive lasers. Solid-state infrared lasers could potentially provide higher conversion efficiency of infrared to EUV light,22 as well as lower consumption of electricity to produce the infrared light, compared with CO2 lasers.23 The first full-field EUV exposure system, the Engineering Test Stand (ETS), built under the auspices of the EUV LLC, had a light source with a solid-state drive laser.24 At the time that exposure tools for HVM were being developed, solid-state lasers with sufficiently high power to produce the needed amount of EUV light were not available, and CO2 lasers were chosen as the drive lasers for LPP sources.25 Early data also indicated better conversion efficiency with the 10.6  μm wavelength of CO2 lasers, using Sn as fuel, than with Nd:YAG laser emission at a wavelength of 1.064  μm.26,27 CO2 lasers were also used for other industrial applications, so their reliability was well established. In the intervening decades, solid-state lasers have matured. With theoretical studies indicating that the efficiency of converting infrared to EUV light may be more efficient with wavelengths <10  μm, high-power solid-state lasers are being developed further and are being considered for future LPP high-power EUV light sources.

Alternatively, free-electron lasers (FELs) have been proposed as light sources for EUV lithography.28 In FELs, electrons are accelerated to near the speed of light and then passed through an array of magnets called an undulator.29,30 The transverse acceleration of the electrons by the magnetic fields in the undulator can result, when properly configured, in the amplified spontaneous emission of light. After emitting the EUV light, the electrons can be decelerated by returning their energy to the system, thereby leading to high energy efficiency. FELs produce considerable light energy but are large. Consequently, FELs as practical EUV light sources in wafer fabs would provide the light for multiple exposure tools. For one design, it is estimated that <0.3  MW of electricity is required to produce 1 kW of EUV light per exposure tool, up to 6× greater efficiency than LPP sources with CO2 drive lasers.31 This energy efficiency is achieved by recovering energy from high-energy electrons after they have been used to create EUV light.32 The deceleration of the electrons during energy recovery results in electrons that no longer have sufficient energy to produce radioactive byproducts, an important factor for using FELs in high-volume manufacturing.

Lasing is achieved by emission from individual bunches of electrons. For FELs designed for lithographic applications, such emission occurs millions of times per second. This has the advantage that very high total power can be produced, whereas the energy of any single light pulse can be below the ablation threshold of optical surfaces. Speckle is always a concern when using lasers for lithography, but analysis has shown that the effects of coherence are not expected to degrade imaging quality significantly when using FEL light sources.31 Finally, the light produced by FELs is polarized, a characteristic whose importance will be discussed further in Sec. 6 of this paper.

5..

Computational Lithography and Resolution Enhancement Techniques for Future Generations of EUV Lithography

As the feature sizes targeted for patterning using EUV lithography have moved to lower values of k1, the use of resolution enhancement techniques has become seen as advantageous. Attenuated phase-shifting masks are widely used for resolution enhancement in optical lithography, but they have yet to be implemented in high-volume manufacturing (HVM) for EUV lithography, even after many years of research and development.33 One reason for this delay is that it took some time to recognize that the optimum phase shift for EUV lithography attenuated phase-shifting masks is not the familiar 180 deg, but rather something closer to 216 deg (1.2π radians). This difference in the optimal phase shift for EUV lithography is due to mask 3D effects, which are enhanced by the non-normal angles of incidence of the illumination.

Another complication is the dispersion of potential attenuating absorbers at EUV wavelengths.34 For such absorbers, the real part of the index of refraction must be significantly <1 to have absorbers that produce the required phase shift with sufficiently short absorber height to minimize mask 3D effects. Moreover, the imaginary part of the index of refraction must be sufficiently low to avoid having a binary mask. Only a few elements in the periodic table meet these optical requirements (Fig. 9), and of those, only ruthenium meets other practical requirements for use in HVM, such as sufficient abundance, lack of radioactivity, and the ability to be plasma etched.35 Other elements may be alloyed with Ru to provide important mask absorber characteristics, such as amorphous morphology. It has also been found that moderate k values (0.04) for low-n mask absorbers reduce best focus variation through pitch.36 Regardless, Ru will need to be a significant component in the absorbers for phase-shifting masks.

Fig. 9

The real (n) and imaginary (k) parts of the index of refraction for possible elements to use as absorbers on EUV masks. Commonly used binary mask absorbers, TaBN and TaN, are included in this figure. The elements in the lower left corner meet the requirements for attenuated phase-shifting mask absorbers. Optical constants were obtained from the CXRO database.37

JM3_24_1_011005_f009.png

For a 36-nm-thick absorber made of ruthenium that produces a phase shift of 216 deg at λ=13.5  nm for light rays reflected from an EUV mask (relative to the light reflected from the multilayer reflector), the phase varies by ±18  deg over the FWHM of the light at the wafer plane for EUV light produced by an LPP source,34 reducing the amount of destructive interference between light reflected from mask absorbers and multilayers (Fig. 10). On the other hand, for FEL sources, which have narrower bandwidths than LPP sources,32 this variation will be less than 1/3 of this (Fig. 11). Even for binary masks, calculated critical dimensions are different between monochromatic illumination and the polychromatic illumination of EUV systems.38 Taking finite bandwidth effects into account is straightforward but complicates OPC and increases computation time.

Fig. 10

Schematic of light reflecting from an EUV mask.

JM3_24_1_011005_f010.png

Fig. 11

Light intensity at the wafer as a function of wavelength for LPP and FEL sources, normalized at λ=13.5  nm. This assumes the scanner’s illuminator has two multilayer mirrors. The light output from the LPP was a composite of data from Refs. 42 and 43. The output spectrum of the FEL was provided by xLight, Inc., and it is the average of light emitted by 120 electron bunches.

JM3_24_1_011005_f011.png

Sensitivity to feature size and type is another characteristic of phase-shifting masks for EUV lithography. In optical lithography, the same attenuated phase-shifting mask blanks can be used for a wide range of feature types and dimensions. In EUV lithography, optimal absorbers have been found to vary among feature types and differently sized features.39,40 Mask blank optimization becomes another part of the computational flow for EUV lithography.

In addition to the difficulties in finding suitable phase-shifting absorbers, there are many other issues related to resolution enhancement for EUV lithography that need to be addressed, mostly related to aspects of computational lithography. These issues are summarized in Table 1. Some of the issues shown in Table 1 have been encountered in optical lithography, but the magnitudes are much larger in EUV lithography. For example, as mentioned in Sec. 1, the impact of flare is greater in EUV lithography than in optical lithography. It also appears that imaging for EUV lithography is more sensitive to mask bias than for optical lithography.41 Low-n masks are beneficial for EUV lithography in terms of increasing process windows, but their use can increase the computational burden, because absorber optima, in terms of optical constants and thickness, depend on feature sizes and type (lines/spaces, contacts/vias).39,40

Table 1

Summary of issues associated with computational lithography and resolution enhancement.

Conventional requirements for OPCMask 3D effects and consequences
• Maximized focus-exposure windows based on CD variations• Dependence of the best focus on the pitch
• Constraints on the mask error enhancement factor (MEEF)• Image blur
• Mask bias• Pattern placement is a function of defocus (non-telecentricity)
New mask absorbers and attenuated phase-shifting masksInclusion of stochastics
• Optimum absorbers (n&k, thickness) are functions of feature type and dimensions• Large image-log slopes are needed to contain stochastics
→ Absorber optimization must become part of the computational lithography flow• Stochastic variation needs to be included in the calculation of PVBands
Consequences of the design and fabrication of opticsInclusion of large bandwidth in calculations
• CDs vary systematically across the slit• Phase-shifting varies ±18 deg across bandwidth at wafer with LPP sources
• Aberrations• CD differences between monochromatic and polychromatic illumination
• Flare
• Anamorphic imaging (for high-NA)
• Minimum pupil fill

Of the issues summarized in Table 1, mask 3D effects are among the most significant. For example, when using familiar illumination source shapes, such as dipole illumination, images are blurred as a consequence of mask 3D effects. This must be dealt with to avoid limitations in resolution. Moreover, variations in the planes of best focus through pitch could consume the entire focus budget if not addressed.

Many of the individual issues summarized in Table 1 could be readily solved if the other issues did not require consideration. However, because all of the issues need to be addressed simultaneously, an increased level of automation is very beneficial. In this regard, inverse lithography technology (ILT), which has already proven beneficial for optical lithography,44,45 could be very useful for future generations of EUV lithography. Because optimized solutions generated by ILT typically involve curvilinear features on masks, the maturing of the infrastructure for supporting curvy features that is underway will also benefit EUV lithography.

6..

Limits of EUV Lithography

From the well-known expression for resolution (minimum ½-pitch = k1λNA), there are three pathways to further scaling:

  • 1. Shorter wavelength (λ)

  • 2. Larger numerical aperture (NA)

  • 3. Smaller k1

Shorter wavelengths, particularly in the range of λ=6.x  nm, have been considered. Switching to a new wavelength always entails changes in many aspects of lithographic technology. While FELs could be used as light sources for λ=6.x.nm for exposure tools in wafer fabs, stand-alone sources are needed for many at-wavelength metrology applications outside of wafer fabs, such as reflectivity measurement by suppliers of mask blanks and at-wavelength defect detection in mask shops. LPP sources in the wavelength range λ=6.x  nm have been investigated, but strong emissions involve the use of gadolinium as a fuel.46,47 However, gadolinium is toxic, complicating its use in manufacturing. Z-pinch sources using neon could provide light for wavelengths=λ=6.x  nm,48,49 but output power may not be sufficient for certain applications, such as defect inspection.

In addition, known multilayers with high reflectivity for λ=6.x  nm have small angular bandwidths,50 which may preclude optics with sufficiently high NA to provide better resolution than systems with λ=13.5  nm. For example, at a wavelength of 6.7 nm, over 70% reflectivity has been calculated for La/B multilayers, but with an angular bandwidth of only 6 deg. For lens reduction of 8×, this would limit NA to no more than 0.34 before there is too much loss of reflectivity at the mask. For this NA, k1=0.3 and λ=6.7, the minimum ½-pitch would be 5.9 nm.

From considerations of shot noise, there needs to be a sufficient number of photons to meet resolution and LER requirements, particularly for features smaller than 10 nm. Photons with λ=6.x  nm have approximately twice the energy of photons with λ=13.5  nm, thereby doubling the amount of heating of mirrors, masks, and wafers for a given number of photons. Control of such heating is a challenge, even at a wavelength of 13.5 nm.

Another path to further scaling is an increase of NA, and NA0.75 is under consideration for EUV lithography.51 For k1=0.3 and NA = 0.77, this would provide a resolution of 5.3  nm. However, the Rayleigh depth-of-focus would be only ±11  nm, making focus control very challenging. Moreover, to reach a value of k1 as low as 0.3, polarized illumination will likely be needed.52 The light from LPP sources is unpolarized, so a polarizer would need to be used for such light sources. EUV light can be polarized, but polarizers are inefficient, so much light would be lost. FELs produce polarized light, so polarization could be another advantage of FELs, in addition to greater energy efficiency, high-power capabilities, and narrower bandwidths. In terms of depth-of-focus, a shorter wavelength would be advantageous (Table 2). Shorter exposure wavelengths would relax the requirements for polarization because the high resolution could be achieved at a low numerical aperture.

Table 2

Resolution and depth-of-focus (DoF) for possible NAs and wavelengths for future generations of EUV lithography.

NAλ (nm)Resolution @ k1=0.3 (nm)Rayleigh DoF (nm)
0.5513.57.4±22
0.7713.55.3±11
0.386.75.3±23
0.556.73.6±11

For considering what k1 is achievable with small features, the material issues discussed in Secs. 2 and 3 are relevant. In the International Roadmap for Devices and Systems (IRDS), LER requirements are 0.1× the minimum ½-pitch. Hence, for 6-nm features, LER would need to be <0.6  nm. If we assume that LER is 2× the size of the molecular building blocks of the resist, the resists would need to be composed of molecules 0.3  nm in size, much smaller than those used currently. However, deposited resists do have building blocks of such a size,53 indicating that the creation of resists made with sufficiently small molecules is possible. The previously mentioned vertically tailored resists have lateral dimensions of 0.4  nm, which is close to what will ultimately be needed.14

As discussed in Sec. 3, the ranges of free electrons created through the absorption of EUV photons will also need to be short, possibly shorter than what occurs currently in metal-oxide resists. This will reduce the number of chemical events per absorbed photon, necessitating high-exposure doses. To achieve high resolution, there needs to be consistency among molecular sizes, electron ranges, and exposure doses. Small molecular building blocks are necessary to achieve the desired resolution and LER, but small molecules would be for naught if electron ranges are too long. If the electron ranges are short and the molecules small, then many photons are needed to create continuous volumes of solubility switched resist. The vertically tailored resists, in which the various necessary attributes of the resist are incorporated in different layers, may have an advantage in this regard.

In principle, EUV lithography can be extended by the use of multiple patterning using exposure tools with NA=0.55, which relaxes the resolution requirements of resist materials. However, there are yield and power advantages to designing circuits with curvilinear features, for which multiple patterning has not yet been demonstrated. Curvilinear features may preclude multiple patterning as a means of extending EUV lithography, so such features may be a strong driver for the use of EUV lithography at NA=0.55.

Acknowledgments

The author would like to thank Chris Anderson, Dinh Nguyen, Gennady Stupakov, and Isleydys Silva Torrecilla of xLight, Inc. for providing the FEL bandwidth data used to produce Fig. 11. All data in support of the findings of this paper are within the article or cited references. This paper was also submitted as a proceedings paper with the same title.

References

1. 

G. T. Dao, R. Scott Mackay and Philip K. Seidel, “NGL process and the role of International SEMATECH,” Proc. SPIE, 4688 29 –35 https://doi.org/10.1117/12.472289 PSISDG 0277-786X (2002). Google Scholar

2. 

M. J. Lercel et al., “Next-generation lithography mask development at the NGL Mask Center of Competency,” Proc. SPIE, 3873 804 –813 https://doi.org/10.1117/12.373374 PSISDG 0277-786X (1999). Google Scholar

3. 

C. A. Mack, “Shot noise: a 100-year history, with applications to lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041002 https://doi.org/10.1117/1.JMM.17.4.041002 (2018). Google Scholar

4. 

H. J. Levinson, “Lithography in a quantum world,” Jap. J. Appl. Phys., 62 (SG), SG0802 https://doi.org/10.35848/1347-4065/acb8be JJAPA5 0021-4922 (2023). Google Scholar

5. 

J. G. Santaclara et al., “The next step in Moore’s law: high-NA EUV introduction at the customer,” Proc. SPIE, 12953 129530P https://doi.org/10.1117/12.3009070 PSISDG 0277-786X (2024). Google Scholar

6. 

J. Kalden et al., “EUV optics at ZEISS: status, outlook, and future,” Proc. SPIE, 12953 129530Q https://doi.org/10.1117/12.3010847 PSISDG 0277-786X (2024). Google Scholar

7. 

F. Banse et al., “Hydrolysis of monobutyltin trialkoxides: synthesis and characterizations of {(BuSn)12O14(OH)6}(OH)2,” Inorg. Chem., 34 (25), 6371 –6379 https://doi.org/10.1021/ic00129a023 (1995). Google Scholar

8. 

M. Neisser et al., “International roadmap for devices and systems, lithography,” https://irds.ieee.org/editions/2022/irds%E2%84%A2-2022-lithography (2022). Google Scholar

9. 

C. Zahlten et al., “High-NA EUV lithography: pushing the limits,” Proc. SPIE, 11177 111770B https://doi.org/10.1117/12.2536469 PSISDG 0277-786X (2019). Google Scholar

10. 

R. Fallica et al., “Absorption coefficient of metal-containing photoresists in the extreme ultraviolet,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (2), 023505 https://doi.org/10.1117/1.JMM.17.2.023505 (2018). Google Scholar

11. 

T. Kozawa et al., “Acid distribution in chemically amplified extreme ultraviolet resist,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Str. Process., Measur. Phenom., 25 (6), 2481 –2485 https://doi.org/10.1116/1.2794063 (2007). Google Scholar

12. 

A. Neureuther et al., “Diagnosing phenomena that influence missing contacts and pillars,” Proc. SPIE, 12292 122920H https://doi.org/10.1117/12.2643289 PSISDG 0277-786X (2022). Google Scholar

13. 

M. Neisser and H. J. Levinson, “Projecting EUV photo-speeds for future logic nodes,” Proc. SPIE, 11323 113231N https://doi.org/10.1117/12.2551311 PSISDG 0277-786X (2020). Google Scholar

14. 

M. Mo Sung et al., “Vertically tailored hybrid multilayer EUV photoresist with vertical molecular wire structure,” Proc. SPIE, PC12953 PC129530K https://doi.org/10.1117/12.3009753 PSISDG 0277-786X (2024). Google Scholar

15. 

J. van Schoot et al., “The next step in Moore’s law: high NA EUV system overview and first imaging and overlay performance,” Proc. SPIE, 12953 129530P https://doi.org/10.1117/12.3009070 PSISDG 0277-786X (2024). Google Scholar

16. 

A. Raley et al., “Outlook for high-NA EUV patterning: a holistic patterning approach to address upcoming challenges,” Proc. SPIE, 12056 120560A https://doi.org/10.1117/12.3009070 PSISDG 0277-786X (2022). Google Scholar

17. 

L. Van Kessel, T. Huisman and C. W. Hagen, “Understanding the influence of three-dimensional sidewall roughness on observed line-edge roughness in scanning electron microscopy images,” J. Micro/Nanolithogr. MEMS MOEMS, 19 (3), 034002 https://doi.org/10.1117/1.JMM.19.3.034002 (2020). Google Scholar

18. 

D. L. Goldfarb et al., “Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Str. Process. Measur. Phenom., 22 (2), 647 –653 https://doi.org/10.1116/1.1667513 (2004). Google Scholar

19. 

K. Hummler et al., “High-power EUV light sources (>500 W) for high throughput in next-generation EUV lithography tools,” Proc. SPIE, 12953 129530V https://doi.org/10.1117/12.3010463 PSISDG 0277-786X (2024). Google Scholar

20. 

J. Garcia-Santaclara et al., “High-NA EUV platform realization as next step in EUV technology,” Proc. SPIE, 12750 PC1275002 https://doi.org/10.1117/12.2687756 PSISDG 0277-786X (2023). Google Scholar

21. 

H. Mizoguchi, “Progress of DUV·EUV light source and its extension to leading edge semiconductor manufacturing,” in 6th EUV-FEL Workshop, (2022). https://conference-indico.kek.jp/event/160/contributions/2878/ Google Scholar

22. 

T. Sizyuk and A. Hassanein, “Tuning laser wavelength and pulse duration to improve the conversion efficiency and performance of EUV sources for nanolithography,” Phys. Plasmas, 27 (10), 103507 https://doi.org/10.1063/5.0018576 (2020). Google Scholar

23. 

I. Tamer et al., “High energy operation of a diode-pumped Tm: YLF laser,” Proc. SPIE, 12401 1240109 https://doi.org/10.1117/12.2649103 PSISDG 0277-786X (2023). Google Scholar

24. 

D. A. Tichenor et al., “System integration and performance of the EUV engineering test stand,” Proc. SPIE, 4343 19 –37 https://doi.org/10.1117/12.436665 PSISDG 0277-786X (2001). Google Scholar

25. 

H. Mizoguchi et al., “Development of CO2 laser produced Xe plasma EUV light source for microlithography,” Proc. SPIE, 6151 61510S https://doi.org/10.1117/12.656941 PSISDG 0277-786X (2006). Google Scholar

26. 

B. A. M. Hansson et al., “LPP EUV source development for HVM,” Proc. SPIE, 6151 61510R https://doi.org/10.1117/12.656687 PSISDG 0277-786X (2006). Google Scholar

27. 

I. V. Fomenkov et al., “Laser-produced plasma source system development,” Proc. SPIE, 6517 71401E https://doi.org/10.1117/12.806648 (2007). Google Scholar

28. 

N. Nakamura et al., “High-power EUV free-electron laser for future lithography,” Jap. J. Appl. Phys., 62 (SG), SG0809 https://doi.org/10.35848/1347-4065/acc18c JJAPA5 0021-4922 (2023). Google Scholar

29. 

W. A. Barletta et al., “Free electron lasers: present status and future challenges,” Nucl. Instrum. Methods Phys. Res., Sect. A, 618 (1-3), 69 –96 https://doi.org/10.1016/j.nima.2010.02.274 (2010). Google Scholar

30. 

H. J. Levinson, Extreme Ultraviolet Lithography, SPIE Press, Bellingham Google Scholar

31. 

C. N. Anderson, “On the compatibility of free-electron lasers with EUV scanners,” Proc. SPIE, 12953 129530T https://doi.org/10.1117/12.3012412 PSISDG 0277-786X (2024). Google Scholar

32. 

H. Kawata et al., “High power light source for future extreme ultraviolet lithography based on energy-recovery Linac free-electron laser,” J. Micro/Nanopattern. Mater. Metrol., 21 (2), 021210 https://doi.org/10.1117/1.JMM.21.2.021210 (2022). Google Scholar

33. 

A. Erdmann, H. Mesilhy and P. Evanschitzky, “Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?,” J. Micro/Nanopattern. Mater. Metrol., 21 (2), 020901 https://doi.org/10.1117/1.JMM.21.2.020901 (2022). Google Scholar

34. 

S. Sherwin et al., “Understanding and measuring EUV mask 3D effects,” Opt. EUV Nanolithography XXXVII, 12953 129530F https://doi.org/10.1117/12.3012400 (2024). Google Scholar

35. 

H. J. Levinson, “High-NA EUV lithography: current status and outlook for the future,” Jap. J. Appl. Phys., 61 (SD), SD0803 https://doi.org/10.35848/1347-4065/ac49fa JJAPA5 0021-4922 (2022). Google Scholar

36. 

J. Finders et al., “The EUV mask as a system: function breakdown and interface description,” in Optical and EUV Nanolithography XXXV, PC1205107 (2022). Google Scholar

37. 

Optical constants were obtained from the CXRO database, http://cxro.lbl.gov/ Google Scholar

38. 

A. Erdmann et al., “Simulation of polychromatic effects in high NA EUV lithography,” Proc. SPIE, 11854 1185405 https://doi.org/10.1117/12.2600931 PSISDG 0277-786X (2021). Google Scholar

39. 

R. N. Sejpal and B. W. Smith, “EMA modelled alternative EUV absorber materials considering optical and stability behavior,” Proc. SPIE, 11855 118550G https://doi.org/10.1117/12.2600735 (2021). Google Scholar

40. 

A. Erdmann et al., “Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?,” J. Micro/Nanolithogr. MEMS MOEMS, 18 (1), 011005 https://doi.org/10.1117/1.JMM.18.1.011005 (2018). Google Scholar

41. 

A. Erdmann et al., “Exploring the limits of high contrast contact imaging using split pupil exposures in high-NA EUV lithography,” Proc. SPIE, 12953 1295302 https://doi.org/10.1117/12.3009996 PSISDG 0277-786X (2024). Google Scholar

42. 

M. van de Kerkhof et al., “High-power EUV lithography: spectral purity and imaging performance,” J. Micro/Nanolithogr. MEMS MOEMS, 19 (3), 033801 https://doi.org/10.1117/1.JMM.19.3.033801 (2020). Google Scholar

43. 

E. R. Kieft et al., “Characterization of a vacuum-arc discharge in tin vapor using time-resolved plasma imaging and extreme ultraviolet spectrometry,” Phys. Rev. E, 71 (2), 026409 https://doi.org/10.1103/PhysRevE.71.026409 PLEEE8 1539-3755 (2005). Google Scholar

44. 

L. Pang et al., “Breakthrough curvilinear ILT enabled by multi-beam mask writing,” J. Micro/Nanopattern. Mater. Metrol., 20 (4), 041405 https://doi.org/10.1117/1.JMM.20.4.041405 (2021). Google Scholar

45. 

E. Russell, “ILT and curvilinear mask designs for advanced memory nodes,” eBeam Initiative Lunch, https://www.ebeam.org/education (2020). Google Scholar

46. 

A. Von Wezyk et al., “Target materials for efficient plasma-based extreme ultraviolet sources in the range of 6 to 8 nm,” J. Phys. D: Appl. Phys., 52 (50), 505202 https://doi.org/10.1088/1361-6463/ab4317 JPAPBE 0022-3727 (2019). Google Scholar

47. 

L. Yin et al., “Study of Gd/Tb LPP emission near λ=6.7  nm for beyond EUV lithography,” Proc. SPIE, 9776 97761M https://doi.org/10.1117/12.2219840 (2016). Google Scholar

48. 

D. B. Reisman, K. Saito and W. A. Neff, “Simulation of a discharge produced plasma (DPP) for Blue-X (6.x nm) EUV radiation,” Proc. SPIE, 12051 120510U https://doi.org/10.1117/12.2628996 PSISDG 0277-786X (2022). Google Scholar

49. 

S. F. Horne et al., “The Energetiq EQ-10 EUV source for metrology: review of recent data,” in 2013 Int. Workshop on EUV and Soft X-Ray Sources, (2013). https://www.euvlitho.com/2013/S29.pdf Google Scholar

50. 

V. Banine et al., “Opportunity to extend EUV lithography to a shorter wavelength,” in 2013 Int. Symp. Extreme Ultraviolet Lithography (Brussel), (2012). http://euvlsymposium.lbl.gov/pdf/2012/pres/V.%20Banine.pdf Google Scholar

51. 

I. Lee et al., “Hyper NA EUV lithography: an imaging perspective,” Proc. SPIE, 12494 1249405 https://doi.org/10.1117/12.2659153 (2023). Google Scholar

52. 

H. J. Levinson, “High-NA EUV lithography: current status and outlook for the future,” Jap. J. Appl. Phys., 61 (SD), SD0803 https://doi.org/10.35848/1347-4065/ac49fa JJAPA5 0021-4922 (2022). Google Scholar

53. 

B. Eynon, “EUV dry resist & process for 2nm node patterning and beyond,” (2023). Google Scholar

Biography

Harry J. Levinson is currently an independent lithography consultant and the principal lithographer at HJL Lithography. He is the author of three books: Lithography Process Control, Principles of Lithography, and Extreme Ultraviolet Lithography. Levinson is an SPIE fellow. In 2022, he received the SPIE Frits Zernike Award in microlithography. Levinson is currently editor-in-chief of the Journal of Micro/Nanopatterning, Materials and Metrology (JM3). He has a BS degree in engineering from Cornell University and a PhD in physics from the University of Pennsylvania.

© 2024 Society of Photo-Optical Instrumentation Engineers (SPIE)
Harry J. Levinson "Challenges and limits to patterning using extreme ultraviolet lithography," Journal of Micro/Nanopatterning, Materials, and Metrology 24(1), 011005 (29 October 2024). https://doi.org/10.1117/1.JMM.24.1.011005
Received: 23 June 2024; Accepted: 7 October 2024; Published: 29 October 2024
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet lithography

Photons

Extreme ultraviolet

Optical lithography

Electrons

Free electron lasers

Gas lasers

Back to Top