The interface roughness of EUV mask multilayers was taken into account for the numerical calculation of blank reflectance, and models for the growth of oxide on Si capping layers were proposed and evaluated. The simulations were then checked and validated with reflectometry measurements at different steps of the mask blank processing as well as for various angles of incidence, and ellipsometry data on layer thickness. The benchmarked models made it possible to characterize EUV mask blank Mo/Si multilayers (period, thickness ratio, number of bilayers), as well as Si capping layers and native oxide layers from reflectivity measurements. This enabled the study, via a combination of experiments and simulations, of the growth of SiO2 layers, bringing deeper understanding into this phenomenon. Finally, the simulations were used to more properly optimize multilayers and quantify the influence of the exposure tool illumination numerical aperture. Having successfully matched reflectivity data around the actinic wavelength, it was also possible to extend the models to inspection wavelengths in order to predict inspection contrast values.
Currently, EUV lithography targets for sub-50 nm features. These very small feature sizes are used for reflective illumination and impose great challenges to the mask maker since they do not allow a simple downscaling of existing technologies. New material combinations for absorber and buffer layer of EUV masks have to be evaluated and fundamental material limits have to be overcome. We report on optimized absorber-stack materials and compare in particular the performance of chrome and tantalum nitride for such small nodes. Tantalum nitride shows similar or even better properties than standard chrome, above all with respect to etch bias. Further investigations have to be done but this material is a promising candidate for feature sizes in the sub-50 nm range.
One of the most critical steps for photomask CD off-target is the patterning of the mask. Here the instability of the dry etch process contributes directly to the stability of the CD value. The increasing demands on high-end masks cause a narrowing of both mask CD off-target and CD uniformity specifications, and accordingly the process stability has to be improved to fulfill these criteria. In this work we investigated the correlation between hardware parameters, basic etch process parameters and the corresponding CD mean-to-target value. Correlations between CD mean-to-target and Cr etch rate as well as effects of chamber seasoning after wet cleans are discussed.
Uniform radical distribution in the etching plasma is essential to meet chrome critical dimension (CD) uniformity for future technology nodes on chrome masks. The Etec Systems Tetra photomask etch chamber utilizes an alumina focus ring in order to optimize the etch uniformity of the chrome mask by minimizing gas flow effects and shaping the radial distribution of the etching radicals over the mask surface. This paper describes a systematic investigation to optimize the current focus ring, in order to improve etch critical dimension uniformity. The focus ring (FR) optimization work was made possible by manufacturing a modular focus ring that allowed the geometry to be varied at different heights and diameters. The circular shape of the modular focus ring, along with the height and diameter combinations, has a large influence on the etch performance at the mask corners and edges. The underlying mechanism was investigated by modeling and simulation. Based on simulation results the focus ring geometry was varied and the optimum FR configuration was found. The critical dimension uniformity could be adjusted on uniformly patterned masks with different pattern loads to meet production specifications.
EUV mask technology poses many new challenges on mask manufacturing processes. One crucial manufacturing step is the patterning of the EUV absorber. Although in the first concepts a Chromium film is used as absorber, increasing demands for shrinking feature sizes will run Chromium out of steam. Due to the necessary oxygen content of the chromium etch plasma and the isotropic etch mechanism for chromium an etch bias of several 10 nm occurs. This results in limitations for the minimal feature size, for which reason a new absorber material has to be developed. The most promising candidate is Tantalum Nitride TaN, which in contrast to the isotropic Cr-etch process, gives the possibility of applying a more anisotropic etch utilizing higher ion energies and sidewall passivation. In this work a plasma etch process for TaN masked with positive CAR resist was developed on masks including a SiO2 buffer layer. Before running the experiments for process characterization, an endpoint detection solution by OES for very small open areas was developed utilizing principal components analysis (PCA). Additionally, an experimental matrix was set up varying bias power, source power and pressure. The DoE experiments were analyzed with respect to etch selectivities, etch bias, etch polymer formation, sidewall angle, iso-dense bias and linearity. After characterisation of the experimental results, optimized process conditions are discussed. We show that this process is capable of resolving feature sizes below 100 nm.
Demands on critical dimension specifications increase with the continuous shrinking of design rules. In order to meet sub-0.13μm specifications with precise process control, a better understanding of the etching chemistry and surface reactions need to be achieved. Optical emission spectroscopy (OES) is frequently used in the photomask community as a diagnostic for calling endpoint, but is often underutilized in process development. In-situ measurements, like OES, need to be utilized and correlated to post-etch metrology measurements in order to provide a larger picture of the etch process.
In this paper, OES is used to characterize and monitor chrome etch processes on the Etec Systems Tetra photomask etch chamber. Changes in process conditions, such as source power, He percentage, pressure, and Cl2:O2 flow ratios have been captured by time-averaged optical emission traces. The OES data of the plasma, along with SEM pictures of line profiles, are used to gain insight in process optimization for the etching of chrome.
Usually in photomask manufacturing, photoresists are stripped by wet processes using amineous solvents or acids. However, new photoresists and novel polymer-rich plasma etch processes in photomask manufacturing require new resist and polymer stripping techniques. The use of plasma strip processes strongly improves the stripping capability. One simple and economic solution is the microwave type reactor using oxygen plasma. As the chromium oxide antireflective coating (ARC) layer is etched in pure oxygen microwave plasma, the stripping plasma chemistry has to be modified to maintain sufficiently high selectivity towards chromium oxide. In this work a stripping process was optimized with respect to photoresist-to-chrome oxide selectivity and photoresist etch rate. The effect of the strip process on CD performance of the mask and integrity of the chromium oxide antireflective coating were investigated. Finally an endpoint detection solution was developed to optimize throughput. The described plasma stripping process proved to be fully applicable to photomask manufacturing.
Shrinking design rules, optical proximity correction and advanced phase shifting techniques require new methods of photomask manufacturing. The Applied Materials Centura photomask etch chamber leverages Applied Materials' extensive etch experience to provide an innovative dry etch solution to the mask dry etch challenges for < 0.13 micrometers device generations. Repeatable, consistent, stable etch performance is critical for advanced mask manufacturing. An extended chamber matching and repeatability study for chrome etch found that stable chrome and photoresist etch rates (and therefore selectivities) are produced on the Applied Materials Centura photomask etch chamber. The etch responses are consistent mask to mask as well as chamber to chamber. Prior to the extended study, pumping efficiencies, RF source and bias calibrations and optical emission spectral responses were compared. Since the study was performed at several different sites, the metrology tools were calibrated using masks specifically designed for this purpose. The marathon testing illustrates the stable etch performance over time.
Endpoint measurement sensitivity requirements in photomask can make or break an etch. The exposed chrome on today's photomask can vary between 0.25 percent and approximately 50 percent. Although excessive overetch does not deleteriously impact the underlying quartz, accurate endpoint detection is essential for preserving the critical dimension (CD) and CD uniformity across the mask. In order to provide a strong endpoint solution for photomask etch, a systematic investigation of etches with varying chrome loads was conducted. Passive monitoring of the optical emission spectra does not impact or interfere with the etch process. Also this method does not need specified endpoint sites on the mask as interferometric methods and provides an integrated endpoint signal over the whole mask area independent of the chrome clearing pattern. Two strong candidate wavelengths for calling endpoint in chrome etch were identified. However, optical emission spectroscopy endpoint detection has two drawbacks, which have historically limited its applicability. Firstly, the exposed area may be too low and/or secondly, the etch rate may be too slow for detection. Both of these concerns have been addressed in this paper by varying the exposed area on the photomasks from 0.25 percent to 99 percent. Endpoint was easily detected even for the slowest possible etch rate and for low exposed area.
CD uniformity and CD mean to target specifications nowadays can only be accomplished by mask manufacturing process using chrome dry etch. Chrome plasma etch processes tend to show a strong dependency of the chrome etch rate and thus the etch bias on the clearfield percentage of a mask resulting in varying offtarget behavior. There are various possibilities to compensate for this loading effect. In previous work the methods of using exposure dose and development time for offtarget control were investigated. In this study we examined the capability of plasma etch parameters to be used for offtarget control. The effects of oxygen concentration, pressure and overetch percentage on etch bias and CD uniformity were experiment. Two different development processes were investigated. The resulting offtarget control model was then confirmed by running additional masks at three different clearfield percentages. Measurement results showed a high confidence level for the model predicted numbers. SEM images confirmed stable behavior of chromium sidewall angles.
In this paper we describe the development of a chrome dry etch process on a new type of mask etch tool. One crucial goal was to minimize the CD etch bias. To meet this goal, a procedure for the direct characterization of CD etch bias was developed. The common methods for measuring the CD etch bias as resist-to-chrome CD difference, such as confocal optical microscope or SEM measurement, only give correct results, if the sidewalls are identical to the calibration standard. This is normally not the case as, due to the differing step height of resist and chrome, and the fact that during process development, in particular, the sidewall shapes and angles can vary significantly. Thus, it is very important to use a CD measurement method which takes the sidewall shapes (slope, foot) into account. One novel method is the use of a Scanning Nano Profiler (SNP) which was derived from the AFM principle. In contrast to AFM the use of a special high aspect ratio tip with 90° sidewall angle, in combination with pixelwise scanning of the substrate surface, provides information about the true sidewall shape and CD.
This paper describes mask topography effects of alternating phase shift masks for DUV lithography. First two options to achieve intensity balancing are discussed. Global phase errors of +/- 10 degrees cause a CD change of 3 nm and 8 nm CD placement errors. The CD placement appears to be the parameter affected most by phase errors. A sloped quartz edge with an angle of 3 degrees causes a CD change of 10 nm. The CD sensitivity on local phase errors, i.e. quartz bumps or holes was also studied. The critical defect size of a quartz bump was seen to be 150 nm for 150 nm technology. For the investigation the recently developed topography simulator T-mask was used. The simulator was first checked against analytical tests and experimental results.
Critical dimension (CD) control and resolution requirements of advanced photomasks require a new class of fabrication processes. These include the use of higher contrast resists and low etch bias processes such as plasma etching for patterning chrome films. Previous work has shown that ZEP 7000 resist and ICP dry etching of chrome provide the process latitude needed to meet 180 nm mask requirements and beyond. However, due to the loading effects, the deviation of the CD from the target value is a function of the chrome loading on the plate when using dry etching. Therefore, CD control must occur by varying the exposure dose or the develop time based on the pattern loading of a particular mask level. By understanding the relationships between the change in CD with respect to dose, develop time and pattern loading, models can be created which accurately predict the required parameters to tightly control CD performance independent of dry etch loading effects. In this paper a production process is described which utilizes ZEP 7000 and ICP dry etching. A series of experiments have been run to characterize the change in CD based on both dose and develop time. Then a matrix of experiments were run to determine the effect of pattern loading on CD. A predictive model was generated from the DOE data which accurately predicts the dose and develop time needed to meet the CD targeting requirements for any given mask level regardless of pattern density. The model was then verified on production mask levels of randomly varying pattern density.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.