We propose a novel, rigorous but simplified method for modeling multilayer systems with the well-known effective medium approximation (EMA) particularily for the intermixed interface. The EMA defines the intermixed layer as a mixture of surrounding layers with a proper volume fraction of each and with this the inhomogeneous mixing can be effectively treated. The EMA and transfer matrix method for the multilayer system predicts the reflection of the system at any angle in a given wavelength range with a superb quality, enabling simulations with a precise fit of measured spectra, and accurate and physically robust extraction of optical parameters. Moreover, the intermixing can be quantified, thus, can be considered in a more systematic way. Demonstration is given with in-line production data from Samsung Photomask Shop.
As the design rule continues to shrink towards the EUV lithography and beyond, the EUV mask inspection is one of the most important technologies for HVM lithography. Until now, most of the EUV mask inspection was performed by the DUV inspection tools. However, due to the nature of 193nm source, the DUV inspection has resolution limit and is unable to perform through-pellicle inspection. To overcome these limitations, the actinic tool was proposed to achieve high-resolution pattern imaging performance and inspection sensitivity. As a result, the EUV imaging resolution of tested pattern such as DRAM and Logic design was improved by 4.7 times compared to DUV resolution and it can achieve the high-resolution inspection for the extreme OPC type pattern and SRAF pattern. While studies on EUV inspection algorithm was mainly focused on masks for logic devices, we developed the EUV mask inspection technology for DRAM and LOGIC devices with Lasertec ACTIS 150 tool. By using the 13.5nm EUV wavelength the APMI can selectively detect printable defects and reduce the detection of nuisance and false defects. Overall, the defect classification of APMI inspection can be easily done hence the higher-resolution imaging performance. We also applied machine-learning based DB inspection algorithm to overcome resolution limit and accuracy of conventional DB modeling based DUV mask inspection. Finally, by using the 13.5nm actinic source, we acquired the technology to detect phase defect and perform through-pellicle inspection.
Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the
technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at
least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV
(193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration
of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips.
While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be
demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool
stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end
EUV masks together with a registration and resolution performance qualification. For this we employ a new generation
registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents
excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam
calibration patterns.
As the design rule becomes continuously smaller, the Hard OPC is being applied to pattern design in semiconductor
production. Controllability of hard OPCed pattern’s quality directly affects to the performance of the device and yields of
production. Critical Dimension Scanning Electron Microscopy (CD-SEM) is used to accurately confirm the Critical
Dimension (CD) quality of the photomask. CD-SEM makes the pattern’s shape image by using secondary electrons
information directly from the Mask surface and can measure CD values. Classically the purpose of CD-SEM
measurement was to get one dimensional CD values. However it is difficult to guarantee complex hard OPCed pattern’s
quality by using only one dimensional CD values because complexity of pattern design has been increased.
To confirm and control the quality of hard OPCed pattern, the quality of pattern fidelity must be measured
quantitatively. In order to overcome this difficulty we developed a new method to quantitatively evaluate the quality of
pattern fidelity using EPE (Edge Placement Error) distance from the overlay between Target Design GDS and SEM GDS
contour which is extracted from CD-SEM image. This paper represents how to define and analyze quantitatively the
quality of complex hard OPCed pattern.
Continuously shrinking designs by further extension of 193nm technology lead to a much higher probability of
hotspots especially for the manufacturing of advanced logic devices. The CD of these potential hotspots needs to be
precisely controlled and measured on the mask. On top of that, the feature complexity increases due to high OPC
load in the logic mask design which is an additional challenge for CD metrology. Therefore the hotspot
measurements have been performed on WLCD from ZEISS, which provides the benefit of reduced complexity by
measuring the CD in the aerial image and qualifying the printing relevant CD. This is especially of advantage for
complex 2D feature measurements.
Additionally, the data preparation for CD measurement becomes more critical due to the larger amount of CD
measurements and the increasing feature diversity. For the data preparation this means to identify these hotspots and
mark them automatically with the correct marker required to make the feature specific CD measurement successful.
Currently available methods can address generic pattern but cannot deal with the pattern diversity of the hotspots.
The paper will explore a method how to overcome those limitations and to enhance the time-to-result in the marking
process dramatically. For the marking process the Synopsys WLCD Output Module was utilized, which is an
interface between the CATS mask data prep software and the WLCD metrology tool. It translates the CATS marking
directly into an executable WLCD measurement job including CD analysis.
The paper will describe the utilized method and flow for the hotspot measurement. Additionally, the achieved results
on hotspot measurements utilizing this method will be presented.
Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection
tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMATECH's Mask Blank Development Center
(MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40~45 nm, which is not likely sufficient for
mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the
required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm
half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some
defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of
infrastructure that will be required for the development and mass production stages.
Phase-shifting EUVL masks applying thinner absorber are investigated to design optimum mask structure with less shadowing problems. Simulations using S-Litho show that H-V bias in Si capping structure is higher than that of Ru capping since the high n (= 0.999) of Si increases sensible absorber height. Phase differences obtained from the patterned masks using the EUV CSM are well-matched with the calculated values using the practical refractive index of absorber materials. Although the mask with 62.4-nm-thick absorber, among the in-house masks, shows the closest phase ΔΦ(= 176°) to the out-of-phase condition, higher NILS and contrast as well as lower H-V bias are obtained with 52.4-nm-thick absorber (ΔΦ = 151°) which has higher R/R0 ratio. MET results also show that lithography performances including MEEF, PW, and resist threshold (dose), are improved with thinner absorber structure. However, low OD in EUVL mask, especially in thinner absorber structure, results in light leakage from the neighboring exposure shots, and thus an appropriate light-shielding layer should be introduced.
The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV zoneplate microscope dedicated to photomask
research. Recent upgrades have given the AIT imaging system selectable numerical aperture values of 0.25, 0.30, and
0.35 (4 equivalent). The highest of which provides resolution beyond the current generation of EUV lithography research
tools, giving above 75% contrast for dense-line features with 100-nm half-pitch on the mask, and above 70% for
88-nm half-pitch. To improve the imaging system alignment, we used through-focus images of small contacts to extract
aberration magnitudes and compare with modeling. The astigmatism magnitude reached a low value of 0.08 waves
RMS. We present the results of performance benchmarking and repeatability tests including contrast, and line width
measurements.
Reduced design rules demand higher sensitivity of inspection, and thus small defects which did not affect printability
before require repair now. The trend is expected to be similar in extreme ultraviolet lithography (EUVL) which is a
promising candidate for sub 32 nm node devices due to high printing resolution. The appropriate repair tool for the small
defects is a nanomachining system. An area which remains to be studied is the nano-machining system performance
regarding repair of the defects without causing multilayer damage. Currently, nanomachining Z-depth controllability is 3
nm while the Ru-capping layer is 2.5 nm thick in a Buffer-less Ru-capped EUV mask. For this report, new repair
processes are studied in conjunction with the machining behavior of the different EUVL mask layers. Repair applications
to achieve the Edge Placement(EP) and Z-depth controllability for an optimal printability process window are discussed.
Repair feasibility was determined using a EUV micro exposure tool (MET) and Actinic Imaging Tool (AIT) to evaluate
repairs the 30 nm and 40 nm nodes. Finally, we will report the process margin of the repair through Slitho-EUVTM
simulation by controlling side wall angle, Z-depth, and EP (Edge Placement) on the base of 3-dimensional experimental
result.
One of the major topics for the introduction of extreme ultraviolet (EUV) technology is the availability of defect-free
masks. From the mask makers' perspective, the quality of the incoming blank material is one of the key ingredients
impacting the yield of structured masks. Besides flatness and layer thickness uniformity, the number of defects
determines the final quality of the mask delivered to the customer for printing. Defects within the layers of optical blanks
have shown that they have a growing impact on the mask structuring process, well below the usual defect specifications
of the blanks. It is expected that this finding will also hold true for material layers above the multi-layer. In addition to
printing performance, EUV masks need to have a defect-free multi-layer. While this layer is easily accessible during
blank production, mask makers usually acquire the complete blank stacks with or without the coated resist. Incoming
blank inspection, therefore, must check the quality of the complete stack without destroying any of the functionality of
these layers. Siemens and AMTC have jointly developed a blank inspection tool that has been installed in AMTC's
Dresden facility and that allows the screening of an EUV blank under these boundary conditions. Previously,
SEMATECH successfully installed an M7360 from Lasertec in its Mask Blank Development Center (MBDC) in Albany,
NY, that shows much better sensitivity data than SEMATECH's earlier tool, the Lasertec M1350. This paper describes
the early performance of the Siemens DF-40XP on programmed multi-layer defect blanks and regular blanks and
compares it to that of the existing tools at the SEMATECH MBDC.
The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of
advanced mask inspection tools, operating at several wavelengths. We describe the unique measurement capabilities of a
prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that
occur on the scale of microns to nanometers. The defects present in EUV masks can appear in many well-known forms:
as particles that cause amplitude or phase variations in the reflected field; as surface contamination that reduces reflectivity
and contrast; and as damage from inspection and use that reduces the reflectivity of the multilayer coating. This paper
presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research.
We describe the role of actinic scanning inspection in defect repair studies, observations of laser damage, actinic inspection
following scanning electron microscopy, and the detection of both native and programmed defects.
Determining the printability of substrate defects beneath the extreme ultraviolet (EUV) reflecting multilayer stack is an
important issue in EUVL lithography. Several simulation studies have been performed in the past to determine the
tolerable defect size on EUV mask blank substrates but the industry still has no exact specification based on real
printability tests. Therefore, it is imperative to experimentally determine the printability of small defects on a mask
blanks that are caused by substrate defects using direct printing of programmed substrate defect in an EUV exposure
tool.
SEMATECH fabricated bump type program defect masks using standard electron beam lithography and performed
printing tests with the masks using an EUV exposure tool. Defect images were also captured using SEMATECH's
Berkeley Actinic Imaging Tool in order to compare aerial defect images with secondary electron microscope images
from exposed wafers.
In this paper, a comprehensive understanding of substrate defect printability will be presented and printability
specifications of EUV mask substrate defects will be discussed.
The readiness of a defect-free extreme ultraviolet lithography (EUVL) mask blank infrastructure is one of the main
enablers for the insertion of EUVL technology into production. It is essential to have sufficient defect detection
capability and understanding of defect printability to develop a defect-free EUVL mask blank infrastructure. The
SEMATECH Mask Blank Development Center (MBDC) has been developing EUVL mask blanks with low defect
densities with the Lasertec M1350 and M7360, the 1st and 2nd generations, respectively, of visible light EUVL mask
blank inspection tools. Although the M7360 represents a significant improvement in our defect detection capability, it
is time to start developing a 3rd generation tool for EUVL mask blank inspection. The goal of this tool is to detect all
printable defects; therefore, understanding defect printability criteria is critical to this tool development.
In this paper, we will investigate the defect detectability of a 2nd generation blank inspection tool and a patterned
EUVL mask inspection tool. We will also compare the ability of the inspection tools to detect programmed defects
whose printability has been estimated from wafer printing results and actinic aerial images results.
The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to im-prove uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5× wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with σ below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography
(EUVL) into manufacturing. Evidently, the success of the industry's mask blank defect reduction effort will critically
depend on the timely availability of defect inspection tools that can find ever smaller defects. The first generation of
defect inspection tools enabled SEMATECH's Mask Blank Development Center (MBDC) to reduce mask blank defects
to a level sufficient for use in EUV alpha tools. The second tool generation is currently enabling the MBDC to meet
EUV pilot line requirements by the end of 2007. However, to meet high volume manufacturing (HVM) mask blank
defect requirements for 32 nm half-pitch (hp) patterning, the industry needs a third generation of defect inspection tools.
This next EUV inspection tool generation must be able to find defects of ≤ 20 nm on mask blanks with a high capture
rate and high blank throughput. In addition, these tools will also need to support extendibility assessments of low defect
deposition technologies and the associated infrastructure towards meeting 22 nm half-pitch defect specifications. While
visible light inspection is likely to support defect inspection needs for mask substrates over several technology nodes,
the industry must explore other options for mask blanks and patterned masks. Evaluating the use of inexpensive printing
tools and wafer-based inspection to search for repeating defects must be part of an overall strategy to address mask
blank and patterned mask defect inspection.
Excellent progress has been made over the past years in meeting the demanding specifications for commercial extreme
ultraviolet (EUV) mask blanks. But as EUV technology is being prepared for pilot-line introduction later this decade, a
substantial effort is still required in many EUV mask infrastructure areas. These include defect inspection, reticlehandling
standardization, substrate and mask flatness, and resulting overall mask cost of ownership (CoO). Defect
inspection metrology for finding printable defects of < 30 nm polystyrene latex (PSL) size is a key EUV mask
infrastructure enabler. To meet EUV mask blank production specifications for 32 nm half-pitch (hp) manufacturing, a
next generation EUV mask blank inspection technology will be needed in 2-3 years. The industry must soon adopt
standards for EUV reticle handling including carrier and loadport solutions for unified requirements to support
commercial pilot-line and production tool developments. The stringent mask substrate flatness specification will be very
difficult to meet and is likely to significantly increase overall EUV mask cost. The industry needs to correct for nonflatness
at the various stages of a mask life cycle and must develop respective standards and specifications to determine
what kind of non-flatness can be corrected. For EUV lithography to be successful, it must be affordable. Lower EUV
mask costs have been a key advantage for EUV compared to optical mask extensions. To maintain this advantage, mask
manufacturing and metrology methods while supporting aggressive mask specifications must remain cost competitive.
Extreme ultraviolet (EUV) lithography technology has gradually developed, and the industry is now progressing toward
beta EUV lithography processes. However, very little has been reported on the effects of phase defects on wafer critical
dimension (CD) and on tolerable defect sizes.
We have fabricated programmed defect masks with programmed substrate pits and absorbing iso line patterns. The
substrate pit depth measured with AFM ranged from ~2-3 to ~6-10 nm and the full width half maximum (FWHM)
varied from 45 to 150 nm. A line-pattern was etched into the reflective multilayer coating using focused ion beam (FIB)
milling. The iso line pattern is 225nm wide, corresponding to 45nm on a wafer in 5x demagnification tool we used for
the EUV exposure.
In this paper, we will present an analysis of the measured relationship between phase defect size and CD change using
data obtained with an EUV micro-exposure tool (MET) and with an actinic imaging microscope at Lawrence Berkeley
National Laboratory. Printable distance between pit and line edge will also be discussed according to pit sizes.
Comparison result between real test and aerial image simulation will be reported to confirm the simulation.
We report the actinic (EUV wavelength) and non-actinic inspection of a multilayer-coated mask blank containing an
array of open-field defect repair sites created in different ways. The comparison of actinic brightfield and darkfield
measurements shows the importance of having both local reflectivity and scattering measurements. Although effective
mask blank repair capabilities have not been adequately demonstrated, the data acquired in this experiment have been
very instructive. Correlation with non-actinic inspection methods shows the difficulty of establishing a successful predictive
model of the EUV response without EUV cross-comparison. The defect repair sites were also evaluated with SEM,
AFM, and 488-nm-wavelength confocal microscopy. The data raise important questions about mask quality specifications
and the requirements of future commercial actinic inspection tools.
The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) inspection tool. Presently, the occurrence of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern.
We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.
In order to make the mask for the photolithography, e-beam direct writing system has been used because e-beam source is most controllable among the direct systems. However, the development of the new e-beam system is scheduled slowly and there is no conspicuous breakthrough technology to improve the quality of the mask comparing to the wafer exposure tool development. Lately, a new laser writing system, Sigma7300 is introduced and shows 200x reduction projection system and very high throughput relative to the e-beam direct writing system. Because it can write the full layout in a mask less than 4
hours, the high reproducibility is expected. Although the current tool is using KrF light source and 0.82NA reduction projection lens column, the higher resolution tool using the ArF light source can be expected in the future. In this paper the possible resolution limit of the Sigma7300 is discussed and the application example for the mask fabrication. To estimate the process capability, the optical simulation is performed and compared with the experimental results. Because its patterned image is not so clear like the e-beam writer, the pattern rounding, the line-end shortening, and the minimum assist feature are discussed with the patterns of the e-beam writer. At the end the important qualities of the mask like defects are compared with the results of the e-beam system.
Downscaling of microchip production technology continually increases requirements to precision of process control, and demands improvement of critical dimension (CD) measurement and control tools. In this paper we discuss the application of in situ method of critical dimension measurement for improvement of photomask development process. For this purpose scatterometry and fitting methods are applied to the CD end point detector system (CD EPD). The CD EPD system is different from the commonly used EPD system, which mainly detects the thickness of remaining resist. Measurement can be performed directly during development process, thus there is an advantage of measurement time decreasing in comparison with the ex situ method. In situ method allows one to control development precisely, and gives possibility to meet the requirements of process control. For the application of scatterometry to the CD measurement, diffraction analysis is carried out by using of rigorous coupled wave analysis (RCWA). We calculate the library of reflected spectra with various CD and heights of the pattern. These spectra are used for fitting with an experimentally measured one to get the CD and height. To increase precision and speed of measurements interpolation of spectra and various fitting methods are used.
Implementation of high resolution E-beam tools is an attractive candidate for next generation lithography. To understand the forward scattering blur and proximity in 100kV E-beam tool, we studied E-beam acceleration voltage effects on dose sensitivity and iso-dense CD bias. We measured and analyzed the dose sensitivity (nm/%dose) near the design CD using various local density patterns. Proximity effects due to backscattering were much larger in 100keV exposure and caused the degraded dose sensitivity. We made a simple model and analyzed each contribution from a resist process, forward scattering and backscattering. We concluded that backscattering was the major reason of decreasing ILS(Image Log Slope) and the difference of forward scattering blur between 50 and 100 keV was negligible. Backscattering contribution compared to that of forward scattering was two times larger in the 100keV exposure, which can make accurate CD control difficult.
KEYWORDS: Etching, Critical dimension metrology, Modulation, Dry etching, Photomasks, System on a chip, Backscatter, Data modeling, Electron beams, Electron beam lithography
The correction of fogging effect from an electron beam writer and loading effect from a dry etcher are known as the important factors of non-uniformity of mask CD. To achieve the improvement of CD uniformity, the fogging and loading effect are modeled as a function of pattern density. Taking into account the different behavior of fogging and loading effect on the pattern density, the amount of correction is able to be extracted using the promising modeling and dose modulation technique. In this work, we report the evaluation of correction method with improved model using the linear combination of fogging and loading effect. We compared the various cases and presented the best result of the improvement of CD uniformity.
Dissolved resist effect on the global CD has been studied in detail in an effort to understand the CD reduction phenomenon due to develop loading. Spin spray process also showed the loading effect although it is less than that of puddle process. In rotating system like spin spray develop process, it is necessary to understand the fluids effect of developed resist to improve the local and global CD uniformity.
In our study, CD reduction due to develop loading has a value of a few nm to ~10 nm as a function of flow direction of eroded resist and erosion time resulted from input dose in the photo mask designed to analyze the loading effect using 50keV exposure system.
There are limit in reducing the loading effect using rpm or flow amount control in spin spray process. The range, direction and amount of loading effect according to flow direction, erosion time and process condition like rotating speed and chemical flow amount will be discussed, considering E-beam fogging effect. Develop loading effect at puddle process will be presented.
Excitation and de-excitation mechanisms of rare earth doped nanocrystalline silicon and its implications for waveguide amplifier applications are investigated. Er, Nd, and Pr doped silicon rich silicon oxide (SRSO) thin films were prepared by electron cyclotron resonance enhanced chemical vapor deposition with co-sputtering of target and subsequent anneal at 950 degrees C. Temperature and pump-power dependence of Er3+ photoluminescence shows that carrier-mediated non-radiative de-excitation are strongly suppressed indicating feasibility of population inversion. Detailed investigations of dependence of Er3+ luminescence intensity and lifetime on pump width indicate that exciton-erbium coupling is dominant over carrier- exciton coupling, and that the luminescent Er ions are not inside the Si nanoclusters but in the SiO2 matrix near the clusters. Luminescence properties of Nd-doped SRSO is similar to that of Er-doped SRSO, but the temperature dependence of Nd3+ luminescence intensity is different from that of Er3+ luminescence, an effect which we ascribe to its higher transition energy. In contrast, no luminescence could be observed from Pr-doped SRSO. Erbium-doped SRSO waveguides are fabricated using the standard Si processing techniques, and guiding of 1.55 micrometers light with strong Er luminescence is observed. These results indicate that for rare erath-doped SRSO waveguides to become practical, formation of high density of small Si nanoclusters must be induced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.