Extreme Ultraviolet Lithography (EUVL) is the favourite next generation lithography candidate for IC device manufacturing with feature sizes beyond 32nm. Different absorber layers and manufacturing concepts have been published for the fabrication of reflective EUVL masks. A mandatory step in the EUVL mask making is the patterning of sub 100nm features. The layer composition of such a TaN absorber consists of an anti reflective coating (ARC) on top of a base layer.
We investigated the dry etch behaviour of TaN based absorbers with four different top ARC layers. Our focus was to determine a dependency of patterning criteria e.g. etch selectivity, minimum resolution, CD uniformity and linearity on the different ARC layers. Before, the deposition parameters of the top ARC layers have been optimized by SCHOTT Lithotec towards minimum stress and the appropriate reflectance property at the 257nm inspection wavelength. The mask blank exposure was done on a 50kV Vistec SB350 MW variable shaped e-beam writer using a 300nm thick Fuji FEP171 resist film. Our test pattern covered a quality area of 132mm x 132mm and comprised dense/iso line structures and contacts from 60nm-1200nm. Testmasks with the four different TaN based absorbers have been dry etched on an Oerlikon mask etcher III. The dry etch recipe and parameters have been kept constant for the different absorber testmasks. Line and contact hole patterns with a minimum feature size of ~70nm and perpendicular profiles have been realized. CD uniformity on 180nm L&S and linearity measurements on dense and iso features from 100nm-1200nm havbe been carried out.
Overall, a TaN based absorber including dry etch process has been developed, able to fulfill the requirements for IC device manufacturing with feature sizes down to 22nm - suitable for EUV-Lithography.
ASML's first EUV alpha demo tool (ADT) is ready for lithographic set up, driving the need for qualified and fully compliant EUV masks. EUV reflection masks are different in blank and mask processes compared to current technologies e.g. masks for 193nm. Although in recent years individual EUV mask parameters have been demonstrated, it is only with the fabrication on the ADT mask set that fully compliant masks have been made. In this paper we discuss the typical requirements of a EUV full-field mask, and show first results from achieving the important milestone of fabricating EUV masks.
Continuous reduction of feature size in semiconductor industry and manufacturing integrated circuits at low costs requires new and innovative technology to overcome existing limitations of optics. Tremendous progress in key areas like EUVL light source technology and manufacturing technology of EUVL masks with low defect rates have been made recently and EUVL is the leading technology capable to be extended so Moore's law, the shrinkage of IC critical features, can continue to be valid. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks, ranging from Low Thermal Expansion Material (LTEM) with high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the roadmap requirements. Further R&D is ongoing to path the way to the production of EUV blanks which meet all requirements.
An important focus of this paper is to present the recent results on LTEM substrates, which include defect density, roughness and flatness simultaneously, as well as EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range and optical resistance to cleaning steps. In addition the design of EUVL absorber material will be discussed, including optical performance at EUV wavelength and its contrast behavior.
Finally, IMS Chips has developed the dry etch process of these EUV Mask Blanks by optimizing etch selectivities, profiles and etch bias. Results on CD uniformity, linearity and iso/dense bias will be presented.
The present paper will show an approach for a local and global stress determination by the application of a Leica LMS IPRO II mask registration tool. Changes in placement due to a full or partial layer removal on single materials as well as material stacks with respect to a reference grid were determined. Simulation using finite element modeling was conducted to calculate stress values from the placement information. Finally, an estimate was made of the acceptable stress level for a sample design to meet placement requirements for future lithography nodes.
Reflections occur at every interface of a mask and are known as flare. Flare effects have a negative impact on the resist exposure at the wafer level. In this paper total antireflection (AR) solutions are presented to eliminate flare effects at mask level. These are next generation binary and phase shifting mask blanks, where AR coatings are effective not only on top of the absorber, but also eliminate internal as well as back side reflections. Substrate reflection can be reduced both internally and externally by an order of magnitude to below 0.5%. Internal (backside) reflection of a binary chrome or a phase shifting layer are reduced from about 40% to below 0.1%. Reflection in the etched area is also addressed and reduced by an order of magnitude. A sophisticated absorber AR coating is presented, where reflection at 193 nm lithography can be reduced to zero while at the same time reflection at 257 nm inspection wavelength is tuned to the maximum sensitivity range of 7% to 20%.
Schott's already commercially available two layer Ta/SiO2 phase shift system can be tuned from 6% up to 40% transmission for 157, 193 and 248 nm lithography wavelengths. Thus one film patterning process provides a wide product range. Attenuated phase shift masks for 6%, 20% and 30% transmission at 193nm were produced. Tests for laser stability and chemical durability show excellent performance. The phase shifting film achieves a high etch selectivity to the substrate. Dry etch process development is done at IMS chips in Stuttgart, Germany, to provide our customers the service of a good start process for patterning. Results of phase and transmission uniformity are included. Our newest development enhances the layer system and provides a better contrast for inspection in reflection mode. Transmission of our standard two layer Ta/SiO2 PSM system is below the required 20% at inspection wavelengths. The reflectivity of 30% to 40% can be lowered by insertion of an additional contrast layer. The thickness of this contrast layer is adjusted to achieve the required reflection at inspection wavelengths, while the other film thicknesses are tuned to preserve the desired transmission and 180° phase shift at the design wavelength. As first examples 6% and 20% transmission PSM for 193 nm were tested. Reflection at 257 nm and 365 nm inspection wavelengths can be lowered from initial 30% to 40% down to about 10%.
Due to the non-telecentricity of the EUV illumination, the EUV mask flatness budget dictates the use of an electrostatic chuck in the exposure tool. Since the mask backside flattening provided by the electrostatic chuck in the exposure tool is very different from the 3-point mounts currently employed to hold reticles in pattern generation and registration measurement tools, this raises the question of which mounting techniques to apply in future patterning and registration tools. In case drastic changes need to be made to the tool configurations, it is important to know, and as early as possible, whether backside chucking of reticles, via an electrostatic or vacuum chuck, is absolutely required or if a 3-point mounting scheme can suffice in these tools. Using finite element simulations, the effects on EUV mask image placement of stressed layers and their patterning, as well as substrate and chuck non-flatness were predicted for these different conditions. The results can be used to calculate image placement error budgets and determine what substrate and blank specifications are needed for the implementation of EUV at the 32-nm node.
AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproducibility and low absolute uncertainty. Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1x1 mm2, 2000 spectral channels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are accumulated in about 20 s, providing statistical reproducibility below 0.2% RMS. The total uncertainty is below 0.5% absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by reference to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection, buffer layers, up to new absorber layers with improved dry etching and inspection properties. In addition highly sophisticated metrology is needed for further improvements and process control. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Our improvements on low defect EUV multilayer coatings as well as on our metrology methods will be elucidated and some aspects of this will be explained in detail. In addition a new design of EUVL absorber material with experimental results will be reported, including optical performance at inspection wavelength.
As microlithography moves to smaller critical dimensions, structures on reticles reach feature sizes comparable to the operating wavelength. Furthermore, with increasing NA the angle of incidence of light illuminating the mask steadily increases. In particular for immersion lithography this will have severe consequences on the printing behavior of reticles. Polarization effects arise which have an impact on, among other things, the contrast of the printed image. Angular effects have to be considered when aggressive off-axis illumination schemes are used. Whereas numerous articles have been published on those effects and the underlying theory seems to be understood, there is a strong need for experimental verification of properties of real masks at the actinic wavelength. This paper presents measurements of polarization effects on different mask blank types produced at Schott Lithotec including chrome and alternative absorber binary mask blanks, as well as phase shift mask blanks. Thickness and optical dispersion of all layers were determined using grazing incidence x-ray reflectometry (GIXR) and variable angle spectroscopic ellipsometry (VASE). The set of mask blanks was patterned using a special design developed at the Advanced Mask Technology Center (AMTC) to allow measurements at different line width and pitch sizes. VUV Ellipsometry was then used to measure the properties of the structured materials, in particular the intensities in the 0th and 1st diffraction order for both polarization directions and varying angle of incidence. The degree of polarization of respective mask types is evaluated for dense lines with varying pitches and duty cycles. The results obtained experimentally are compared with simulations based on rigorous coupled wave analysis (RCWA).
AIXUV GmbH and partners have developed a high speed Reflectometer for EUV mask-blanks which is fully compliant with the SEMI-standard P38 for EUV-mask-blank metrology. The system has been installed in June 2004 at SCHOTT Lithotec AG. It features high throughput, high lateral and spectral resolution, high reproduci-bility and low absolute uncertainty.
Using AIXUV's EUV-LAMP and debris mitigation, low cost-of-ownership and high availability is expected. The spectral reflectance of up to 3 mask-blanks per hour can be measured with at least 20 spots each. The system is push button-controlled. Results are stored in CSV file format. For a spot size of 0.1×1 mm2, 2000 spectral chan-nels of 1.6 pm bandwidth are recorded from 11.6 nm to 14.8 nm. The reflectance measurement is based on the comparison of the sample under test to two reference mirrors calibrated at the PTB radiometry laboratory at BESSY II. The three reflection spectra are recorded simultaneously. For each spot more than 107 photons are ac-cumulated in about 20 s, providing statistical reproducibility below 0.2 % RMS. The total uncertainty is below 0.5 % absolute. Wavelength calibration better than 1 pm RMS over the whole spectral range is achieved by refe-rence to NIST published wavelengths of about 100 xenon emission lines. It is consistent with the wavelength of the krypton 3d-5p absorption resonance at 13.5947 nm to better than 2 pm.
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass mask blanks. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection up to new absorber layers with improved dry etching and inspection properties. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Further R&D is ongoing to path the way to the production of EUV mask blanks which meet all requirements
An important focus of this report is to present recent results on EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range. In addition a new design of EUVL absorber material will be reported, including optical performance at inspection wavelength, dry etch performance and resistance to cleaning steps. Finally improvements on our metrology methods for EUVL components, such as high throughput EUV-reflectometry will be elucidated.
Schott's already commercially available two layer Ta/SiO2 phase shift system can be tuned from 6% up to 30% transmission for 157, 193 and 248 nm lithography wavelengths. Thus one film patterning process provides a wide product range. Dry etch process development is done at IMS chips in Stuttgart, Germany, to provide our customers the service of a good start process for patterning. Our newest development enhances our phase shift layer system. An inspection layer provides an improved contrast for inspection at 257 nm and 365 nm by adjusting reflection to the optimum range from 7% to 20%. Chemical durability against standard mask cleanings was already shown to be good but can be further enhanced by an protection layer. Furthermore a new two layer phase shift system was designed achieving ultra-high transmission above 90% at 193 nm lithography wavelength as an alternative to hard shifter masks.
EUV Lithography requires high end quality defect free layers from the backside coating to the absorber stack. Low thermal expansion materials (LTEM) substrates with super flat surfaces are already available with low defect backside coating for E-Chuck technology. The multilayer stack is well developed from a physical point of view and major effort relies nowadays on the layer defectivity. On the other hand, absorber stack becomes one of the main challenges in terms of stress, optical behavior for ultraviolet wavelengths and dry etching behavior. Schott Lithotec is currently developing absorber stack solutions that will fulfill the requirements of next generation lithographies. There are several options for achieving the mechanical, optical and chemical specs for buffer layers and absorber coatings. Some of them are already integrated in our production processes. Buffer layers were evaluated and reach almost the physical and chemical level necessary to fit with the mask processing. TaN based absorber coatings were designed and deposited by an ion beam sputter tool optimized for low defect deposition (LDD-IBS). The chemical composition of our layer and its manufacturing process is already optimized to achieve high quality etching behavior. The current results of defect density for the absorber stack will be presented.
A prototype of a reflectometer for masks and mask blanks has been set-up in autumn 2003 for in-house quality check of EUV mask blanks at Schott Lithotec. The target specifications are those under discussion as SEMI standard for EUV mask blank reflectometry. Additionally, the identified demands for semiconductor capital investment for future actinic EUV metrology, high throughputs and small measuring spots, were taken into account for the tool development. Effective use of the emission from a laboratory discharge source is achieved by using polychromatic reflectometry, which has been shown to deliver results about a factor of 100 faster with the same source power and needs less mechanical overhead than a monochromatic reflectometer. The hardware concept, first results and discussion of a test of the performance with respect to resolution, uncertainty and reproducibility will be represented. Jointly with the Physikalisch-Technische Bundesanstalt’s laboratory for radiometry at BESSY II the traceability to storage ring metrology, the calibration and the validation of the concepts will be assessed.
Schott Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks - ranging from Low Thermal Expansion Material (LTEM) via high quality substrate polishing to low defect blank manufacturing. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the roadmap requirements. The goal is pilot production of EUV blanks for the 45 nm node end of 2005.
There are several technology options for achieving the mechanical, optical and chemical specs for substrates and coatings. Some of them are already integrated in our processes. An important focus of this paper is the understanding of defect sources starting from the LTEM bulk material to the fully coated blanks with multilayer, buffer and absorber. We present details on some production steps controlling defect detection sensitivity dedicated to various layers and report on new results on defect reduction research after the different process steps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.