A new application for ultra-fast and repeatable in-die determination of CD structures at the ~1 &mgr;m length scale using
BPR®/BPE® (Beam Profile Reflectometry/Ellipsometry) technologies on an Opti-Probe OP9000 series system, is presented and summarized. Two structures were measured and analyzed, including a poly-silicon CD standard and an advanced poly-silicon recessed structure relevant to advanced memory devices. A focused beam spot (~1 &mgr;m) and "fast BPR" data acquisition capability (~17 ms) were utilized to perform high-resolution scans across wafer and within single die regions. Rotating Compensator Spectroscopic Ellipsometry (RCSE®) signals were also used to independently determine and compare to BPR results from data collected over larger areas (~15 &mgr;m). The BPR/BPE and SE results for line CD were found to have high correlation. Further, model regression for SE data coupled with an artificial neural
network model and fast BPR were utilized to measure and calculate 10,000 points across a 1 mm2 area in a matter of
minutes. Overall, the results were found to be repeatable and correlated well to CD-SEM analysis.
In the 90nm node and beyond, Critical Dimension Uniformity (CDU) control is essential for today's high performance IC devices. The desired control of CDU is just under 2nm (3 sigma) across a 300mm wafer with 577 die. In this study we used an Opti-Probe 7341 RT/CD system that combines broadband (190-840 nm) spectroscopic ellipsometry (SE), spectroscopic reflectometry (BB), single wavelength (673 nm) beam profile reflectometry (BPR) and single wavelength (633nm) absolute ellipsometry (AE). All of the above technologies were used to characterize the optical dispersions of the individual films in the stack of interest, resist/barc/sion/poly/oxide/silicon. We then used these dispersion results and the SE and BB technologies to characterize the CDU of the patterned wafer. With the SE technology we measured CDU's in the range of 1.9-2.0 nm compared with BB measured CDU's in the range of 4-5 nm, both SE and BB wavelength were in the range of 240 nm-780 nm. However, if the wavelength range of SE and BB were extended to 190nm-840 nm, the CDU with SE stayed at the same level while that of BB reduced a factor of 2 to about 2.0-2.5 nm.
KEYWORDS: Scatterometry, Optical proximity correction, Scanning electron microscopy, Data modeling, Scatter measurement, Semiconducting wafers, Process modeling, Lithography, Calibration, Time metrology
Evaluation and qualification of lithographic exposure tools is a crucial step in establishing high volume manufacturing processes for IC manufacturers. The data sampling offered by scatterometry can be as dense as that from ECD (electrical CD) for the qualification of the tool. In this paper, the CDs obtained from scatterometry measurements are compared with those obtained by ECD (electrical CD) measurements to show the cross-slit and cross-scan tool characteristics. Since scatterometry is still an order of magnitude slower than ECD, data from various sampling plans will be compared. Another important consideration of this study is to use scatterometry to generate OPC (optical proximity correction) models for the 45nm and 32nm nodes. An accurate measurement of the process to fit the model becomes very crucial in the very deep sub-micron regime. Currently, SEM measurements are performed but they are slow and their precision is not adequate. In this paper, scatterometry measured data will also be compared with SEM data for OPC model fit.
In this study, the optical properties of amorphous carbon (aC) ARC films are investigated using an Opti-probe OP7341, and a metrology solution that robustly measures a broad range of process conditions is presented. We find that the aC material is consistent with uni-axial anisotropy, and that this effect may have important implications for photolithography. These results are obtained through the combination of multiple technologies in one tool: spectroscopic ellipsometry (SE); spectroscopic reflectometry or broadband (BB), with a wavelength range of 190-840 nm; single wavelength (673 nm) but multiple incident angle beam profile reflectometry (BPR) and beam profile ellipsometry (BPE), and single wavelength (633nm) absolute ellipsometry (AE). The combination of technologies at multiple angles and wavelengths provides additional optical information and sensitivity not possible with single-technology approaches. A complex wavelength dependent anisotropy model was developed for this analysis, and is compared with a real anisotropy model. The complex anisotropy model and the effective medium approximation (EMA) with two and three components were applied to a set of 12 wafer set with thickness swing aC films in the range of 500-750 Å as well as a second set of 23 pre- and post- etch wafers. The complex anisotropy model clearly has the advantage of best fit the BPR profiles along with the SE Fourier coefficients. The etch rate obtained by the complex anisotropy also showed a much narrower variation as compared with the EMA2 and EMA32 models with the real anisotropy.
Spectra of contact hole arrays with target diameters ranging from 106 to 131 nm and pattern pitch ranging from 220 to 300 nm are taken from an off-axis (65°) rotating compensator spectroscopic ellipsometry (RCSE).[1] 3-dimensional finite difference (FD3D) model developed by H. Chu,[2] is applied in the studies. To ensure accuracy of optical dispersion of each film, the simultaneous use of angle resolved beam profile reflectometry (BPR), broadband spectroscopic reflectometry (BB), and SE of an Opti-Probe 7341 are used for characterizing of the resist and BARC films. In particular, The extracted n&k dispersions are used to model the contact hole SE data using Therma-Wave's proprietary 3-dimensional RT/CD technology.[3,4] The performance of stability of both static and dynamic repeatability, uniformity, and correlation to other independent technology (i.e., SEM) will be presented in this paper.
A selection of thin Si layers grown epitaxially upon thick relaxed SiGe films were measured using the combination of optical metrology techniques available on the Opti-Probe 7341 system. The techniques used included in particular (i) angle resolved laser Beam Profile Reflectometry (BPR) with S and P polarization, (ii) Broad-band visible-DUV spectrophotometry (BB), and (iii) spectroscopic ellipsometry (SE). The measured parameters included the Ge-content of the relaxed SiGe layer, the thickness and optical dispersion of the thin Si layer, and the thickness of the native oxide layer on the strained Si. Strain in the Si layer can be recognized by a significant downwards shift in the energy of the E1 peak and in the magnitude of the E2 peak in the ε2 dispersion curve, which is consistent with theoretical predictions when the strain in the layer is tensile.
The thickness measurements of the Si layer made by the Opti-Probe were found to be in agreement with subsequent SIMS analysis to within 5Å for the strained-Si layer. Measurement precision for thickness was <1.5Å (3σ). for the strained-Si layer. Overall, the results show that a reliable and stable measurement of Strained-Si is possible using optical metrology.
KEYWORDS: Critical dimension metrology, Dispersion, Process control, Optical properties, Standards development, Data modeling, Metrology, Very large scale integration, Lithography, Thin films
Shrinkage of device dimensions requires tighter lithography process control. Current levels of Process Control leave less than 0.5 nm budget for CD metrology. An accurate and stable metrology solution requires measurement of CD and profile that are critically dependent on thin film material characterization at various earlier process stages. Opti-Probe integrates five different technologies into a single platform to accurately characterize optical properties of 193 nm materials. Real-time CD (RT/CD) technology utilizes four independent spectra collected from the samples using a rotating-compensator spectroscopic ellipsometer (RCSE) and analyzes the spectra with an innovative numerical solution-finding approach to construct detailed CD and profile of printed features in a 2- and 3 Dimensional geometries. The study presents a comparison of:
i) Methodologies using an advanced combination of metrology techniques to characterize 193 nm materials (e.g. ARC). ii) Measured CD and profile variations using RCSE of Opti-Probe and RT/CD technology. iii) Correlation between measured CD variation and measured material characteristics. In order to achieve less than 0.3 nm accuracy and stability requirement for sub 65 nm process development and CD uniformity control, less than 0.003 variation and accuracy in optical dispersion (n&k) of critical material has to be ensured.
In real-time optical CD applications of shallow trench isolation (STI), shallow trench removal (STR), deep trench isolation (DTI), and deep trench removal (DTR), a single recipe is required for each type of application to accommodate wide ranges of process windows by monitoring parameters such as bottom CD (BCD), middle CD (MCD), top CD (TCD) and side wall angle (SWA). The modeling of the grating profiles of silicon trenches with nitride caps requires a large number of slices (> 10) to generate smooth shapes for top rounding of the nitride, curvature of the silicon trench waist, and the silicon trench footing or undercut. The number of orders for Fourier expansion is also high (larger than 13 in the best case). With these requirements we found that the rigorous coupled wave analysis (RCWA) algorithm is generally too slow to calculate the CD profiles from the raw scatterometry spectra. In this paper we present a finite difference (FD) algorithm and its applications to real-time CD scatterometry. The mathematical analysis of the FD algorithm was published elsewhere. We demonstrate that the FD algorithm has an advantage over RCWA in terms of calculation speed (up to a factor of 10 improvement), better capture of profile shapes in comparison with cross sectional SEM (X-SEM) and more robust in terms of numerical stability. Details of comparisons between FD and RCWA will be shown for the applications of STR and DTR.
This paper presents measurement results of the 3-D contact hole profiles using RT/CD technology for various diameter-to-space (D/S) ratios and film stacks. The key controlling parameters (hole depth, diameter, sidewall angle, and hole openness, etc.) for lithography processing of contacts and vias were studied in terms of measurement sensitivity on samples with different pitches and D/S ratios and film stacks. Good correlation (R2 ~ 0.99) between CD-SEM and RT/CD was obtained for the sample structures. The static and dynamic measurement stability of contact diameter and contact depth was better than 1 nm using simple profile modeling.
In the l30nm process, controlling the critical dimension uniformity (CDU) within a wafer is crucial. In order to minimize CDU within a wafer, CD swing amplitude against film thickness must be minimized. It is observed that the CD swing amplitude is closely related to the reflectivity of the anti-reflective coating (ARC) layer under the resist. The suppressed reflectivity (ideally zero) from the ARC layer and underlying layers can be achieved by properly selecting a combination of thickness (T), refractive index (N) and extinction coefficient (K) of the ARC layer. Accurate and repeatable measurements of T, N, and K at a wavelength of 193nm play a key role in this film optimization process. In this paper we propose a new method to simultaneously measure T, N, and K for various silicon oxynitride (SION) and organic ARC films. The new methodology uses a multi-domain genetic algorithm (MDGA) to search for global fitting residual minima for SION and organic ARC films using 21-point line-scan data sets logged on each wafer with a combination of BPR, AE and SE measurement technologies. The MDGA-obtained dispersion curves form constituents of a Bruggeman effective medium approximation (EMA) model. By using this unique metrology tool combination, swing amplitudes can be reduced to less than 5nm. The measurement variations of N&K at 193nm from machine to machine on SION and organic ARC films can be minimized to as small as 0.002. We point out that there are no 193nm N&K standards in the world. In this work, we used a set of Therma-Wave standards with thicknesses traceable to NIST standards. We also used the published thermal oxide and crystalline Si dielectric constants (i.e., N&Ks) as our standards for dispersion. The matching of SE (as well as the other technologies) of each tool is ensured through calibrations of SE to the same set of standards. Finally, a recipe using the combination of BPR, AE, and SE technologies allows one to deal with the large TNK variations encountered in the production environment without losing the sensitivity to measure TNK precisely and accurately. On the contrary, a TNK recipe with SE technology only may yield reasonable precision results but would lose the sensitivity to the thin film TNK variation within the wafer and among the wafers.
We have developed a new Multi-domain Genetic Algorithm (MDGA) as a tool for advanced recipe development and applied it to metrology based on X-ray reflectivity (XRR) and spectroscopic ellipsometry (SE). In our MDGA approach, multiple data sets are examined with the output being an optimal set of parameters for robust and rapid measurements. The data sets usually span the expected range of variations likely to be encountered in a process to be monitored (e.g., the data sets correspond to different thickness but with the same density or dispersion).In one application involving XRR measurements, a set of Ti films with thickness of 200 Å was plasma treated for 0 sec, 10 sec, 30 sec, 50 sec, and 100 sec. Although it was expected that the plasma treated Ti film had a higher density than the non-treated Ti film, we found that the plasma treated Ti film had to be modeled as a two-layer film stack: the plasma treated Ti on top of a regular Ti. Without the MDGA, the densities of the top plasma treated Ti and the bottom Ti traded off since they are so close. With the MDGA, the densities of the top and bottom Ti films were regarded as global optimization parameters while the thickness and roughness of each layer were allowed to vary as local parameters. Our results show that the MDGA can clearly separate the plasma treated Ti from the untreated Ti film across the entire wafer set. On the other hand normal non-linear regression methods cannot distinguish the plasma treated Ti from the untreated Ti. In an application using SE measurement of a bottom anti-reflective coating (BARC) material, a linescan of 11 points across a 200mm wafer was measured with the thickness of the BARC film treated as a local parameter while the dispersion was treated as a set of global parameters. With the help of the MDGA, the dispersion modeling of the BARC film captured two main features at ~ 4.77 eV (260nm) and ~ 5.07 eV(235nm), as well as three small peaks at 3.16 eV(392nm), 3.37 eV (368nm) and 3.53 eV (351nm). In this way, the measured dispersion of the BARC film is more representative of the entire wafer than any dispersion developed from a single point measurement.
We have developed fast numerical solutions to the diffraction of light from periodic array structures that allow real-time regression fitting to optical data. In contrast to previous publications, the solutions we have developed are easily applied to focused beams with arbitrary angles of incidence on periodic structures with complex shapes and multiple layers both within and below the structure. The adaptive nature of the shape definition makes it relatively easy to characterize typical microelectronic patterning effects, including undercut, rounding, footing and encroachment in a robust manner on poly-gate, STI, Damascene and resist structures. This real-time approach is not limited by a priori knowledge or assumptions about the range of variation of the CD parameters, and is therefore able to deal with large excursions in process parameters. It is also not limited by parameter discretization effects. The program itself is easily configured for any type of optical measurement (ellipsometry, reflectometry, etc). Data will be presented for several categories of microelectronic CD structures that have been measured with this approach.
We have developed a rapid XRR system that is capable of acquiring the reflectivity data in the angular range of 0.1 - 1.6 degree in less than 20 sec. The data were analyzed to obtain the thickness, density and roughness of the film of interest in a few seconds. The system consisted of an x-ray source with a tungsten target and a Si monochromator, a sample stage, and a 1024-pixel photo-diode array. The system was used to characterize the multiple film stack of Ta/Al2O3/Ta/SiO2/Si. The Ta and Al films were sputtered onto the SiO2/Si substrate and the Al was oxidized to form the film of Al2O3. The thickness of the Ta layers was about 100 angstrom while the thickness of Al2O3 varied from 40 angstrom to 200 angstrom. The XRR sensitivity to parameters such as thickness, density, and roughness of the Ta and Al2O3 layer was also studied. We found that the XRR can measure the thickness and density of each layer with a standard deviation less than 0.5% and 1.5% of the target thickness and density, respectively. The roughness was found to have a standard deviation better than 1 angstrom. We also found that the density of the film of Al2O3 varied from 2.7 - 4.0 g/cm3, indicating that the stoichiometry of the Al2O3 films ranged from the non-oxidized pure Al to the fully oxidized Al2O3. The information of the thickness, density and roughness of each of the Ta and Al2O3 films from XRR is particularly useful to nondestructively monitor the thin film deposit conditions in real time.
High-k gate dielectric films with equivalent oxide thickness (EOT) of 3 nm or less are becoming the main theme of research and development in ultra-large-scale integrated circuits industry with device dimensions scaled down to less than 130 nm. Among the high-k gate dielectric materials hafnium dioxide (HfO2) is very promising with its high dielectric constant (approximately 30) and stability in contact with Si. The samples were prepared with a DC magnetron-reactive sputtering method and subsequently annealed in the furnace with a temperature range of 500- 850 degree(s)C. The thickness of the HfO2 varied from 3.5- 18nm with a hafnium silicate interface layer of approximately 1 nm. The electrical measurement showed that the breakdown voltage is inversely proportional to the physical thickness, suggesting the breakdown process occur at the HfO2 thin film rather than in the interface layer. To measure the physical thickness of hafnium dioxide and hafnium silicate interface simultaneously, a research grade bench top rotating compensator spectroscopic ellipsometry (RCSE) in the wavelength range of 195-915 nm was used. The dispersion of HfO2 film was characterized with a two-peak critical point (CP) model and the dispersion of the interface layer of hafnium silicate was characterized with a five-peak CP model. An interface layer thickness of 0.7-2 nm was found for all hafnium dioxide films on Si, depending on the process conditions such as annealing temperature and oxygen flow rate. The same wafers measured by RCSE were later studied by transmission electron microscopy (TEM). The thickness of hafnium dioxide and hafnium silicate determined by TEM is in good agreement with the noninvasive RCSE method.
We developed a robust measurement recipe for six layer SOI film stack. Both spectrometer and BPR were combined to characterize the plate and storage polysilicons. A new global optimization method was developed to find the best solution in parameter spaces with up to 12 parameters. Such a recipe was applied to production wafers with over 50 site die mapping. The 5 day repeatability shows the measurements were stable and robust.
We have developed a novel technique for performing simple phase-sensitive optical measurements in a sub-micrometer area. We presently use this technique to measure film stacks commonly found in the semiconductor industry. This article explains the theory behind this technique and presents several examples demonstrating the capabilities of the system.
Measurements of ultra-thin films (<100A) and small geometries (< 1/mm) of IC product wafers require more than simply a smaller measurement spot size. An optical artifact has been discovered when using spectrophotometers to measure ultra-thin films near feature edges. A model of this effect will be presented. This artifact is a subtle effect that produces measurable reflectivity errors tens of microns from a feature edge. While this error is small, it is not negligible for film thickness measurements below 400A. Experiments have been performed on typical spectrophotometers and data from these experiments will be presented. These data will be compared to a newly developed laser-based dielectric film thickness measurement system that significantly reduces this edge effect.
The semiconductor industry is moving towards thinner dielectric films (less than 100 angstroms), more complex film structures (oxide on polysilicon on oxide on silicon) and smaller lateral geometries (less than 1 micron). The attendant measurement requirements demand more than incremental improvement of existing methods. In this paper, a novel laser-based dielectric film measurement system is described that meets these requirements by bridging the gap between spectrophotometer speed and ellipsometer precision while measuring with a 0.9 micron focused laser spot. The operating principles of a new technique which we call Beam Profile Reflectometry are discussed and data are presented for a number of different single- and multi-layer film structures relevant to microelectronics processing.
Their presently exists a need for an analytical method that can accurately measure both the grain size and thickness of aluminum films deposited under a variety of deposition conditions. In particular, it is especially desirable to be able to make such measurements with high spatial resolution and in a noncontact and nondamaging manner. Using a laser-based thermal wave system with highly focused beams (1 micron spot size) a technique has been developed to satisfy this need. An argon-ion pump beam intensity-modulated in the MHz regime generates thermal waves which are detected via the modulated reflectance of a non-modulated HeNe probe beam. Due to the roughness of the film's surface it is necessary to collect a significant amount of data. Information about the thickness of the film is then based on the average thermal wave signal and grain size information is obtained from the scattering of the thermal wave signal as well as from scattering in the dc reflected argon and HeNe beams. A detailed description of the measurement and the theory behind the analysis will be presented in this talk.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.