In the semiconductor IC manufacturing industry, challenges associated with producing defect-free photomasks have
been dramatically increasing. At the 10nm technology node, since the 193nm immersion scanner numerical aperture has
remained the same 1.35 as in previous nodes, more multi-patterning and aggressive SMO illumination sources are being
used to effectively print smaller feature CDs and pitches. To accommodate such specialized sources, more model-based
mask OPC and ILT have been used making mask designs very complicated. This in turn makes mask manufacturing
very challenging especially for the defect inspection, repair, and metrology processes that need to guarantee defect-free
masks. Over the past few years, considerable innovation have been made in the areas of defect inspection and disposition
that has ensured continued predictability of mask quality to wafer and final chip yields. The accurate disposition of each
mask defect before and after repair has been facilitated by a suite of automated applications such as ADC, LPR, RPG,
AIA, etc. that work together with the inspection, repair, and metrology tools and effectively also provide the best
possible utilization of the tool capability, capacity and operator resources.
In this paper we introduce a new consolidated applications platform called the Reticle Decision Center (RDC) which
hosts all these supporting software applications on a centralized server with direct connectivity to mask inspection,
repair, metrology tools and more. The paper details how the RDC server is architected to host any application in its
native operating system environment and provides for high availability with automatic failover and redundancy. The
server along with its host of applications has been tightly integrated with KLA-Tencor’s Teron mask inspectors. The
paper concludes with showing benefits realized in mask cycle-time and yield as a result of implementing RDC into a
high-volume 10nm mask-shop production line.
One of the main challenges in photomask cleaning is balancing particle removal efficiency (PRE) with pattern
damage control. To overcome this challenge, a high frequency megasonic cleaning strategy is implemented.
Apart from megasonic frequency and power, photomask surface conditioning also influences cleaning
performance. With improved wettability, cleanliness is enhanced while pattern damage risk is simultaneously
reduced. Therefore, a particle removal process based on higher megasonic frequencies, combined with proper
surface pre-treatment, provides improved cleanliness without the unintended side effects of pattern damage, thus
supporting the extension of megasonic cleaning technology into 10nm half pitch (hp) device node and beyond.
Die-to-die reticle inspections are among the simplest and most sensitive reticle inspections because of the use of an identical-design neighboring-die for the reference image. However, this inspection mode can have two key disadvantages: (1) The location of the defect is indeterminate because it is unclear to the inspector whether the test or reference image is defective; and (2) nuisance and false defects from mask manufacturing noise and tool optical variation can limit the usable sensitivity. The use of a new sequencing approach for a die-to-die inspection can resolve these issues without any additional scan time, without sacrifice in sensitivity requirement, and with a manageable increase in computation load.
In this paper we explore another approach for die-to-die inspections using a new method of defect processing and sequencing. Utilizing die-to-die double arbitration during defect detection has been proven through extensive testing to generate accurate placement of the defect in the correct die to ensure efficient defect disposition at the AIMS step. The use of this method maintained the required inspection sensitivity for mask quality as verified with programmed-defectmask qualification and then further validated with production masks comparing the current inspection approach to the new method. Furthermore, this approach can significantly reduce the total number of defects that need to be reviewed by essentially eliminating the nuisance and false defects that can result from a die-to-die inspection. This "double-win" will significantly reduce the effort in classifying a die-to-die inspection result and will lead to improved cycle times.
As optical lithography continues to extend into low-k1 regime, resolution of mask patterns continue to diminish, and so
do mask defect requirements due to increasing MEEF. Post-inspection, mask defects have traditionally been classified by
operators manually based on visual review. This approach may have worked down to 65/55nm node layers. However,
starting 45nm and smaller nodes, visually reviewing 50 to sometimes 100s of defects on masks with complex modelbased
OPC, SRAF, and ILT geometries, is error-prone and takes up valuable inspection tool capacity. Both these
shortcomings in manual defect review are overcome by adoption of the computational solution called Automated Defect
Classification (ADC) wherein mask defects are accurately classified within seconds and consistent to guidelines used by
production technicians and engineers.
AIMS™ Die-to-Die (D2D) is widely used in checking the wafer printability of mask defects for DUV
lithography. Two AIMS images, a reference and a defect image, are captured and compared with differences
larger than certain tolerances identified as real defects. Since two AIMS images are needed, and since AIMS
system time is precious, it is desirable to save image search and capture time by simulating reference images
from the OPC mask pattern and AIMS optics. This approach is called Die-to-Database (D2DB). Another
reason that D2DB is desirable is in single die mask, where the reference image from another die does not
exist.
This paper presents our approach to simulate AIMS optics and mask 3D effects. Unlike OPC model,
whose major concern is predicting printed CD, AIMS D2DB model must produce simulated images that
match measured images across the image field. This requires a careful modeling of all effects that impact the
final image quality. We present a vector-diffraction theory that is based on solid theoretical foundations and a
general formulation of mask model that are applicable to both rigorous Maxwell solver and empirical model
that can capture the mask 3D-effects. We demonstrated the validity of our approach by comparing our
simulated image with AIMS machine measured images. We also briefly discuss the necessary changes needed
to model EUV optics. Simulation is particularly useful while the industry waits for an actinic EUV-AIMS
tool.
Lin He, Noel Corcoran, Danping Peng, Vikram Tolani, Hsien-Min Chang, Paul Yu, Kechang Wang, C. J. Chen, T. H. Yen, Rick Lai, B. H. Ong, Laurent C. C. Tuo
The routine use of aggressive OPC at advanced technology nodes, i.e., 40nm and beyond, has made photomask
patterns quite complex. The high-resolution inspection of such masks often result in more false and nuisance defect
detections than ever before. Traditionally, each defect is manually examined and classified by the inspection operator
based on defined production criteria. The significant increase in total number of detected defects has made manual
classification costly and non-manufacturable. Moreover, such manual classification is also susceptible to human
judgment and hence error-prone.
Luminescent's Automated Defect Classification (ADC) offers a complete and systematic approach to defect
disposition and classification. The ADC engine retrieves the high resolution inspection images and uses a decision-tree
flow based on the same criteria human operators use to classify a given defect. Some identification mechanisms adopted
by ADC to characterize defects include defect color in transmitted and reflected images, as well as background pattern
criticality based on pattern topology. In addition, defect severity is computed quantitatively in terms of its size, impacted
CD error, transmission error, defective residue, and contact flux error. The final classification uses a matrix decision
approach to reach the final disposition. In high volume manufacturing mask production, matching rates of greater than
90% have been achieved when compared to operator defect classifications, together with run-rates of 250+ defects
classified per minute. Such automated, consistent and accurate classification scheme not only allows for faster
throughput in defect review operations but also enables the use of higher inspection sensitivity and success rate for
advanced mask productions with aggressive OPC features.
A wafer's printed CD error can be impacted by unaccounted mask making process variation. Unaccounted mask CD
and/or corner rounding alters the intended drawn mask pattern contributing to a wafer's printed CD error. During OPC
wafer calibration, average mask bias and corner rounding are accounted for in the OPC model, but random local mask
making process variations or mask-to-mask variations can be difficult to account in such model calibration. Thus when
a wafer's CD has error, it can be difficult to determine if the general root cause was due to mask or wafer or both. An
in-line monitoring application has been developed to extract accurate mask CD and rendered mask polygon from
collected mask CD-SEM images. Technical information will be presented on the challenges of accurately extracting
information from SEM images. In particular, discussions include SEM image calibration, contour extraction, inverse
pattern rendering, and general image processing to account for mask SEM aberrations (translation, rotation, & dilation),
tool-to-tool variation, vendor-to-vendor variation, run-to-run variation, and dark/bright field pattern-to-pattern variation.
After accurate mask SEM contours are obtained, lithographic simulations are performed on extracted polygon contours
to determine the impact of mask variation on wafer CD. This paper will present detail information about the Inverse
Pattern Rendering (IPR) capabilities developed for a virtual Wafer CD (WCD) application and its results, which is
proven to achieved 0.5 nm accuracy across multiple critical layers from 28 nm to 40 nm nodes on multiple CD-SEM
tools over multiple mask shop locations.
As optical lithography continues to extend into low-k1 regime, resolution of mask patterns under mask inspection optical
conditions continues to diminish. Furthermore, as mask complexity and MEEF has also increased, it requires detecting
even smaller defects in the already narrower pitch mask patterns. This leaves the mask inspection engineer with the
option to either purchase a higher resolution mask inspection tool or increase the detector sensitivity on the existing
inspection system or maybe even both. In order to meet defect sensitivity requirements in critical features of sub-32nm
node designs, increasing sensitivity typically results in increased nuisance (i.e., small sub-specification) defect detection
by 5-20X defects making post-inspection defect review non-manufacturable.
As a solution for automatically dispositioning the increased number of nuisance and real defects detected at higher
inspection sensitivity, Luminescent has successfully extended Inverse Lithography Technology (ILT) and its patented
level-set methods to reconstruct the defective mask from its inspection image, and then perform simulated AIMS
dispositioning on the reconstructed mask. In this technique, named Lithographic Plane Review (LPR), inspection
transmitted and reflected light images of the test (i.e. defect) and reference (i.e., corresponding defect-free) regions are
provided to the "inversion" engine which then computes the corresponding test and reference mask patterns. An essential
input to this engine is a well calibrated model incorporating inspection tool optics, mask processing and 3D effects, and
also the subsequent AIMS tool optics to be able to then simulate the aerial image impact of the defects. This flow is
equivalent to doing an actual AIMS tool measurement of every defect detected during mask inspection, while at the same
time maintaining inspection at high enough resolution. What makes this product usable in mask volume production is the
high degree of accuracy of mask defect reconstruction, predicting actual AIMS measurements to within ±4% CD error
for > 95% of defects while not missing any OOS (out-of-specification) defect and maintaining high simulation
throughput of ≥250 defects/min on Luminescent's distributed computing platform. This technique enables inspection
recipes to be setup based on the sensitivity required to detect small but lithographically-significant defects, even if in the
process a large number of nuisance defects are detected.
LPR is being implemented as an integral part of defect classification for high-volume sub-32nm technology nodes and
higher. Furthermore, this technique will be essential to the lithographic disposition of defects detected on EUV masks
inspected under non-actinic conditions.
At the most advanced technology nodes, such as 45nm and below, aggressive OPC and Sub-Resolution Assist Features
(SRAFs) are required. However, their use results in significantly increased mask complexity, making mask defect
disposition more challenging than ever. In an attempt to mitigate such difficulties, new mask inspection technologies
that rely on hardware emulation and software simulation to obtain aerial image at the wafer plane have been developed;
however, automatic mask disposition based on aerial image is still problematic because aerial image does not give the
final resist CD or contour, which are commonly used in lithography verification on post OPC masks. In this paper, an
automated mask defect disposition system that remedies these shortcomings is described. The system, currently in use
for mask production, works in both die-to-die and die-to-database modes, and can operate on aerial images from both
AIMSTM and aerial-image-based inline mask inspection tools. The disposition criteria are primarily based on waferplane
CD variance. The system also connects to a post-OPC lithography verification tool that can provide gauges and
CD specs, which are then used in the mask defect disposition.
An agile mask data preparation (MDP) approach is proposed to cut re-fracture cycle time as incurred by mask writer dispatching policy changes. Shorter re-fracture cycle time increases the flexibility of mask writer dispatching, as a result, mask writer's capacity can be utilized to its optimum. Preliminary results demonstrate promising benefits in MDP cycle time reduction and writer dispatching flexibility improvement. The agile MDP can save up to 40% of re-fracture cycle time. OASIS (Open Artwork System Interchange Standard) was proposed to address the GDSII file size explosion problem. However, OASIS has yet to gain wide acceptance in the mask industry. The authors envision OASIS adoption by the mask industry as a three-phase process and identify key issues of each phase from the mask manufacturer's perspective. As a long-term MDP flow reengineering project, an agile MDP and writer dispatching approach based on OASIS is proposed. The paper describes the results of an extensive evaluation on OASIS performance compared to that of GDSII, both original GDSII and post-OPC GDSII files. The file size of eighty percent of the original GDSII files is more than ten times larger compared to that of its OASIS counterpart.
Ta-Si-O composite films have been developed for the single-layer attenuated phase-shifting mask (A.PSM). The films were deposited in an reactive-sputtering system with separating Ta and Si guns. The refractive index and the extinction coefficient of films were tuned by changing the oxygen flow rate and gun power of each gun. At the optimum condition, films with the required optical properties for APSM has been obtained. The films obtained will produce π-shift transmittance around 7% for both i-line and DUV lithography, and less than 25% of transmittance at 488 nm which is important for defect inspection. In addition, the films appear to be inert to hot sulfuric acid which is also important in mask cleaning. Together, Ta-Si-O composite film is expected to be a promising material of DUV absorptive shifter.
A feasibility of optical proximity effect correction (OPC) mask manufacturing with a state of the art mask fabrication processing and systems is demonstrated focusing on the 0.25 micrometer devices and 4X reticle generation. For realistic OPC mask fabrication, electron beam (EB) resist processing in terms of CD accuracy, mask defect inspection thoroughness and mask defect repair accuracy are studied in detail. For the positive resist process, EB proximity effect correction is applied in order to improve the linearity to meet required CD specifications. Based on such evaluation, practical criteria for OPC pattern generation are applied into an automatic OPC software. It is verified that by using the software with the criteria given, 0.25 micrometer memory device patterns can be corrected with a sufficient optical lithography imaging performance and a reasonable data volume. It is concluded that manufacturing feasibility of sufficiently effective OPC masks is verified as a result of concurrent development on the mask fabrication and automatic OPC software. Engineering tasks in the future are also proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.