Background: Planned improvements in semiconductor chip performance have historically driven improvements in lithography and this is expected to continue in the future. The International Roadmap for Devices and Systems roadmap helps the industry plan for the future.
Aim: The 2021 lithography roadmap shows requirements, possible options, and challenges for the next 15 years.
Results: Critical dimensions in logic chips are now small enough that stochastics, i.e., random variations in photon, molecules, and photoresist image forming processes, introduces random variations in sizes and stochastic-driven defects. As critical dimensions get smaller, stochastics becomes a bigger challenge. The roadmap projects that despite projected improvements in tools, photoresist, device design, and patterning processes, resist dose to print will still have to roughly triple over the next 10 years to maintain acceptable stochastics unless major process or chip design changes are made. This will raise patterning costs substantially. Other patterning options are under development but they also have challenges related to defects. Edge placement error (EPE) is also a challenge for future devices. Long-term, logic device requirements will drive stacked devices, and yield and process complexity will be key challenges.
Conclusions: Logic devices will drive leading edge lithography. Improved extreme ultraviolet lithography is a leading candidate but other options are possible. Key short-term challenges are stochastics, EPE, and cost. Resist dose to print is expected to rise substantially as critical dimensions shrink unless substantial process innovation occurs. For the long term, the challenges will be yield and process complexity when logic devices switch to 3D scaling
Since the expected exposure dose of photoresist is an important parameter in the usability of EUV at a reasonable cost, the Lithography IRDS Focus Team (IFT) developed a photospeed projection as part of the 2019 IRDS lithography roadmap. We used two different methods to do this. Both methods started with the assumption that the 7-nm node critical layers exposed using EUV single exposure just meet the CDU requirement. This is equivalent to assuming that EUV users picked the fastest resist possible that still met requirements. Method one was to separate the required CD control for the 7nm node into a portion due to photon shot noise and a portion due to resist stochastics. Although the literature has widely varying numbers for the relative amounts of photon shot noise and resist stochastics, this approach did provide some insight. If one assumes most of the CDU is mostly due to photon stochastics, then substantial resist improvements from node to node, for example 20% less stochastic CD variation, doesn’t make much difference to the total stochastics. But if there isn’t resist improvement, then even doubling the source power from node to node won’t meet CDU requirements. If we assume that resist stochastics make up almost all of the CDU observed at the 7-nm node, then no reasonable amount of dose increase meets future requirements. Only if a combination of significant resist improvement and significant dose increases is used can you meet requirements. The second approach was to extrapolate using k4.1 In this case, assuming a typical current value of k4, we estimate a typical line and space 7-nm node exposure dose to be to be 36 mJ/cm2. We used the reported historical improvement in equivalent k1 for resists as a guide for how fast resist k4 can improve. This projected that typical resist exposure doses will have to increase over 30% per node on average to meet CDU and LER requirements for the leading-edge logic devices, leading to dose requirements < 100 mJ/cm2 by the 1.5 nm node. We will discuss the challenges in meeting this roadmap and how the industry may adapt to the future challenge of lower noise imaging processes.
In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Typical EUV resists are organic-based and chemically amplified using photoacid generators (PAGs). Upon exposure, PAGs produce acids which catalyze reactions that result in changes in solubility. In EUV lithography, photo- and secondary electrons (energies of 10- 80 eV) play a large role in PAG acid-production. Several mechanisms for electron-PAG interactions (e.g. electron trapping, and hole-initiated chemistry) have been proposed. The aim of this study is to explore another mechanism – internal excitation – in which a bound PAG electron can be excited by receiving energy from another energetic electron, causing a reaction that produces acid. This paper explores the mechanism of internal excitation through the analogous process of electron-induced fluorescence, in which an electron loses energy by transferring that energy to a molecule and that molecule emits a photon rather than decomposing. We will show and quantify electron-induced fluorescence of several fluorophores in polymer films to mimic resist materials, and use this information to refine our proposed mechanism. Relationships between the molecular structure of fluorophores and fluorescent quantum yield may aid in the development of novel PAGs for EUV lithography.
Optimizing the photochemistry of extreme ultraviolet (EUV) photoresists should provide faster, more efficient resists which would lead to greater throughput in manufacturing. The fundamental reaction mechanisms in EUV resists are believed to be due to interactions with energetic electrons liberated by ionization. Identifying the likelihood (or cross section) of how these photoelectrons interact with resist components is critical to optimizing the performance of EUV resists. Chemically amplified resists utilize photoacid generators (PAGs) to improve sensitivity; measuring the cross section of electron induced decomposition of different PAGs will provide insight into developing new resist materials. To study the interactions of photoelectrons generated by EUV absorption, photoresists were exposed to electron beams at energies between 80 and 250 eV. The reactions between PAG molecules and electrons were measured using a mass spectrometer to monitor the levels of small molecules produced by PAG decomposition that outgassed from the film. Comparing the cross sections of a variety of PAG molecules can provide insight into the relationship between chemical structure and reactivity to the electrons in their environments. This research is a part of a larger SEMATECH research program to understand the fundamentals of resist exposures to help in the development of new, better performing EUV resists.
EUV photons expose photoresists by complex interactions including photoionization to create primary electrons (~80 eV), and subsequent ionization steps that create secondary electrons (10-60 eV). The mechanisms by which these electrons interact with resist components are key to optimizing the performance of EUV resists and EUV lithography as a whole. As these photoelectrons and secondary electrons are created, they deposit their energy within the resist, creating ionized atoms along the way. Because many photo- and secondary electrons can escape the resist through the surface, resists can become charged. Charging and energy deposition profiles within the resist may play a role in the sensitivity and line-edge roughness of EUV resists. In this paper, we present computational analysis of charging-influenced electron behavior in photoresists using LESiS (Low energy Electron Scattering in Solids), a software developed to understand and model electron-matter interactions. We discuss the implementation of charge and tracking and the model used to influence electron behavior. We also present the potential effects of charging on EUV and electron beam lithography by investigating secondary electron blur in charging and non-charging models.
Here, we present platinum and palladium mononuclear complexes with EUV photosensitivity and lithographic performance. Many platinum and palladium complexes show little or no EUV sensitivity; however, we have found that metal carbonates and metal oxalates (L2M(CO3) and L2M(C2O4); M=Pt or Pd) are sensitive to EUV. The metal carbonates give negative-tone behavior. The most interesting result is that the metal oxalates give the first positive-tone EUV resists based on mononuclear organometallic compounds. In particular, (dppm)Pd(C2O4) (dppm=1,1-bis(diphenylphosphino)methane) (23) prints 30-nm dense lines with Esize of 50 mJ/cm2. Derivatives of (23) were synthesized to explore the relationship between the core metal and the resist sensitivity. The study showed that palladium-based resists are more sensitive than platinum-based resists. The photoreaction has been investigated for two of our most promising resists, (dppm)Pd(C2O4) (23) and (Ph2EtP)2PdC2O4 (27). Our experiments suggest the loss of CO2 and the formation of a zerovalent L4Pd complex upon exposure to light. We have identified dppm2Pd(δ(P)23.6) as the main photoproduct for (23) and (Ph2EtP)4Pd (δ(P)32.7) as the main photoproduct for (27).
Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty compounds of the type R2Sn(O2CR′)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the photosensitivity is linearly related to the molecular weight of the carboxylate group bound to tin. Additionally, photosensitivity was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin (R=phenyl, butyl, and benzyl). Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (1) achieved 1.4 nm LER at 22-nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (2) achieved 1.1 nm LER at 35-nm half-pitch at high exposure doses (600 mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH⏧CH2)2 (3) and (C6H5CH2)2Sn(O2CC(CH3)⏧CH2)2 (4), demonstrated better photospeeds (5 mJ/cm2 and 27 mJ/cm2) but worse LER.
We have developed organometallic carboxylate compounds [RnM(O2CR′)2] capable of acting as negative-tone extreme ultraviolet (EUV) resists. The most sensitive of these resists contain antimony, three R-groups and two carboxylate groups, and carboxylate groups with polymerizable olefins (e.g., acrylate, methacrylate, or styrenecarboxylate). Evidence suggests that high sensitivity is achieved through the polymerization of olefins in the exposed region. We have performed a systematic sensitivity study of the molecules of the type RnM(O2CR′)2 where we have studied seven R groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR′). The sensitivity of these resists was evaluated using Emax or dose to maximum resist thickness after exposure and development. We found that the greatest predictor of sensitivity of the RnSb(O2CR′)2 resists is their level of polymerizable olefins. We mathematically define the polymerizable olefin loading (POL) as the ratio of the number of olefins versus the number of nonhydrogen atoms. Linear and log plots of Emax versus POL for a variety of molecules of the type R3Sb(O2CR′)2 lend insight into the behavior of these resists.
Extreme ultraviolet (EUV) photons expose photoresists by complex interactions starting with photoionization that create primary electrons (∼80 eV), followed by ionization steps that create secondary electrons (10 to 60 eV). Ultimately, these lower energy electrons interact with specific molecules in the resist that cause the chemical reactions which are responsible for changes in solubility. The mechanisms by which these electrons interact with resist components are key to optimizing the performance of EUV resists. A resist exposure chamber was built to probe the behavior of electrons within photoresists. Resists were exposed under electron beam and then developed; ellipsometry was used to identify the dependence of electron penetration depth and number of reactions on dose and energy. Additionally, our group has updated a robust software that uses a first principles-based Monte Carlo model called low-energy electron scattering in solids (LESiS) to track secondary electron production, penetration depth, and reaction mechanisms within materials-defined environments. LESiS was used to model the thickness loss experiments to validate its performance with respect to simulated electron penetration depths to inform future modeling work.
Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high
sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the
patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on
their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron
withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic
ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of
ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of
ligands. The mixture of nanoparticles showed improved pattern quality.
The introduction of EUV lithography to manufacturing requires the development of both new EUV exposure tools and
photoresists. The main challenges for photoresists are to achieve high resolution, and low roughness patterning at very
high sensitivity given the limited intensity of current sources. A new class of photoresist formed from ligand-stabilized
metal oxide nanoparticles shows extraordinary sensitivity for EUV lithography. These nanoparticles are processed in
traditional organic solvents for both deposition and development as negative tone resist; positive tone images are
possible if the aqueous base developer is used in addition to a post-exposure bake step. This paper presents new
developments in the study of ligand-stabilized nanoparticle photoresists for EUV lithography.
It is our current understanding that a key aspect of the solubility change of these photoresists during exposure involves
ligand displacement by anions generated from photoactive compounds such as sulfonic acid photoacid generators. Both
positive and negative tone patterning are possible and depend on thermal treatment history and choice of developer. On
the basis of a non-chemically amplified ligand exchange mechanism, new resist structures were created. Both aromatic
and aliphatic carboxylic acids with different functional groups have been studied in the formation of the nanoparticles
and include dimethylacrylic acid, isobutyric acid, toluic acid. It has been shown that those nanoparticles with higher
binding affinity ligands show better resolution and line edge roughness under EUV exposure. Some formulations
demonstrate EUV sensitivity as high as 1.4 mJ/cm2, while other formulations demonstrate that improved LER values of
3-5nm. The overall resolution, sensitivity and roughness tradeoff has been evaluated and provides an understanding of
structure - property relationships. In this paper, we also discuss major efforts on the further understanding of the
patterning mechanism. By testing the dissolution rate and plotting it in Hansen interaction triangles, we can compare the
differences between different formulations and choose a suitable developer for each formulation. We also used the
dissolution rate study to confirm the important role of PAG and ligand exchange for pattern formation. In addition,
aspects of the EHS properties of these new photoresists have been investigated and will be discussed.
Many different types of non-traditional resist designs have shown promise for future generations of patterning, but there is a greater need for understanding and developing additives and ancillary materials for these novel resists compared to traditional polymeric positive tone systems which are quite mature. With the goal of meeting some of these needs, we carried out multiple different studies of negative tone molecular resists based on epoxide cross-linking. We have developed methods for controlling cross-linking in these materials using photo-decomposable nucleophiles (PDNs) which have shown resolution improvements in one resist from 26 nm down to 18 nm so far. Aqueous base developed systems have been made by introducing phenol groups to these resists. Although the first molecule designed successfully patterns in aqueous base, its performance is limited compared to organic solvent development. A series of di-functional epoxide molecular resists have been made and generally show much worse sensitivity than a fourfunctional epoxide resist. Underlayers (ULs) have been designed specifically to leverage the high reactivity of epoxides to create ULs that can cross-link to the resist. These ULs improve the adhesion of some of these molecular resists, but also show significant sensitivity improvements compared to imaging on bare silicon. Sensitivity in one resist was improved by 5-12 mJ/cm2 simply by using the UL.
Recently there has been a great deal of effort focused on increasing EUV scanner source power; which is correlated to increased wafer throughput of production systems. Another way of increasing throughput would be to increase the photospeed of the photoresist used. However increasing the photospeed without improving the overall lithographic performance, such as local critical dimension uniformity (L-CDU) and process window, does not deliver the overall improvements required for a high volume manufacturing (HVM). This paper continues a discussion started in prior publications [Ref 3,4,6], which focused on using readily available process tooling (currently in use for 193 nm double patterning applications) and the existing EUV photoresists to increase photospeed (lower dose requirement) for line and space applications. Techniques to improve L-CDU for contact hole applications will also be described.
This work focuses on the investigation of dual tone patterning mechanism with hybrid inorganic/organic photoresists.
Hafnium oxide (HfO2) modified with acrylic acid was prepared and the influence of electrolyte solutions as well as pH on its
particle size change was investigated. The average particle size and zeta potential of the nanoparticles in different electrolyte
solutions were measured. The results show that addition of different concentrations of electrolytes changed the
hydrodynamic diameter of nanoparticles in water. Increased concentration of tetramethyl ammonium hydroxide (TMAH)
caused the zeta potential of nanoparticles to change from positive to negative and its hydrodynamic diameter to increase from
40 nm to 165 nm. In addition, increasing concentration of triflic acid led to the decrease of particle size and zeta potential.
We have developed organometallic carboxylate compounds [RnM(O2CR’)2] capable of acting as negativetone EUV resists. Overall, the best and fastest resists contain antimony, are pentavalent and the carboxylate group contains a polymerizable olefin (e.g. acrylate, methacrylate or styrenecarboxylate). Evidence suggests that high sensitivity is achieved through the polymerization of olefins in the exposed region. We have performed a systematic sensitivity study of molecules of the type RnM(O2CR’)2 where we have studied seven R groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR’). We found that the greatest predictor of sensitivity of the RnSb(O2CR’)2 resists is their level of polymerizable olefins. We mathematically define the polymerizable olefin loading (POL) as the ratio of the number of olefins vs. the number of non-hydrogen atoms. Linear and log plots of Emaxvs. POL for a variety of molecules of the type R3Sb(O2CR’)2 lend insight into the behaviour of these resists.
A series of five negative tone epoxide functionalized molecular resists have been synthesized and have had their glass transition temperature (Tg) and lithographic contrast behavior characterized. Introducing rigid structural features in the form of aromatic rings to a resist was found to increase its glass transition temperature. All resists but one, BHPF-2Ep, were found to have poor film stability which required the use of an underlayer. A trend was observed where PEB conditions performed at temperatures much higher than the Tg of the molecular resist was found to induce propagation of polymerization outside of exposed regions. Di-functionalized resists were observed to have poor sensitivity due to their low degree of functionalization. A resist was synthesized (BHPF-2Ep) which was capable of resolving features down to 20 nm with an imaging dose of 70.5 mJ/cm2.
A negative tone, aqueous base developable molecular glass resist, 3Ep, is presented that is developable in both standard organic solvents and aqueous base developers. The resist shows slightly better imaging performance in organic solvent versus aqueous base and shows a shift of E0 away from zero dose. Compared to a previously reported 4Ep resist, 3Ep appears to have a more controlled polymerization rate at equivalent conditions, which results in higher-quality patterned features. 3Ep also requires use of an underlayer to avoid de-wetting during aqueous base development.
The EUV photoreactivity of platinum and palladium mononuclear complexes has been investigated. Many platinum and palladium complexes show little or no EUV sensitivity, however, we have found that metal carbonates and metal oxalates (L2M(CO3) and L2M(C2O4); M = Pt or Pd) are sensitive to EUV. The metal-carbonates give negative tone behavior. The most interesting result is that the metal oxalates give first positive-tone EUV resists based on mono-nuclear organometallic compounds. In particular, (dppm)Pd(C2O4) (dppm = 1,1-Bis(diphenylphosphino)methane) (25) prints 30-nm dense lines with Esize of 50 mJ/cm2. To improve the lithographic performance of (25), the processing conditions were studied. A bake study showed that bake affected sensitivity and dark loss very little, while dark loss worsened with development time. Derivatives of (25) were synthesized to explore the effect of molecular weight on resist sensitivity, but the study showed no correlation between molecular weight and sensitivity.
Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty-one compounds of the type R2Sn(O2CR’)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the Emax values were linearly related to molecular weight when plotted separately depending upon the hydrocarbon group bound directly to tin (R = butyl, phenyl and benzyl). Additionally, Emax was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin. Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (13) achieved 1.4 nm LER at 22 nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (14) achieved 1.1 nm LER at 35 nm half-pitch at high exposure doses (600 mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH=CH2)2 (11) and (C6H5CH2)2Sn(O2CC(CH3)=CH2)2 (12), demonstrated much improved photospeeds (5 mJ/ cm2 and 27 mJ/cm2) but with worse LER.
EUV lithography is needed by the semiconductor industry for both its resolution and for the process simplification it provides compared to multiple patterning. However it needs innovations to make it a success. One area where innovation is needed is resist performance. Resists that are commercially available for EUV use are typically based on conventional chemically amplified resist chemistry. So far, this has not provided the required performance at fast enough photo speed. Many innovative resist systems have been introduced in the last few years that have novel mechanisms and/or incorporate novel chemical elements with high EUV absorbance. These new systems are promising enough for EUV use that work on many of them now needs to shift to characterizing their functional parameters and optimizing their performance. For the future, new systems beyond these will have to focus on reducing the inherent noise in resist imaging. The concept of pixelated resists is introduced and it is suggested pixelated resists are one possible avenue for imaging sub 10nm features with sufficient feature size and profile control.
EUV photons expose photoresists by complex interactions starting with photoionization that create primary electrons (~80 eV), followed by ionization steps that create secondary electrons (10-60 eV). Ultimately, these lower energy electrons interact with specific molecules in the resist that cause the chemical reactions which are responsible for changes in solubility. The mechanisms by which these electrons interact with resist components are key to optimizing the performance of EUV resists. An electron exposure chamber was built to probe the behavior of electrons within photoresists. Upon exposure and development of a photoresist to an electron gun, ellipsometry was used to identify the dependence of electron penetration depth and number of reactions on dose and energy. Additionally, our group has updated a robust software that uses first-principles based Monte Carlo model called “LESiS”, to track secondary electron production, penetration depth, and reaction mechanisms within materials-defined environments. LESiS was used to model the thickness loss experiments to validate its performance with respect to simulated electron penetration depths to inform future modeling work.
In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.
With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRMTM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRMTM materials.
We present the synthesis and preliminary lithographic evaluation of Molecular Organometallic
Resists for EUV (MORE) that contain post transition metals. These post transition metal nuclei have high
EUV optical density so they can utilize a high fraction of the incident photons. We will describe two
technical approaches for EUV resist platforms that contain bismuth. Approach 1: Combination of
organometallic compounds with photoacid generators. Approach 2: Combination of high-oxidation state
metal-center oligomers that utilize carboxylate anions bound to the metal centers.
We have studied the photolysis of tin clusters of the type [(RSn)12O14(OH)6] X2 using extreme ultraviolet (EUV,
13.5 nm) light, and developed these clusters into novel high-resolution photoresists. A thin film of
[(BuSn)12O14(OH)6][p-toluenesulfonate]2 (1) was prepared by spin coating a solution of (1) in 2-butanone onto a silicon
wafer. Exposure to EUV light caused the compound (1) to be converted into a substance that was markedly less soluble
in aqueous isopropanol. To optimize the EUV lithographic performance of resists using tin-oxo clusters, and to gain
insight into the mechanism of their photochemical reactions, we prepared several compounds based on
[(RSn)12O14(OH)6] X2. The sensitivity of tin-oxide films to EUV light were studied as a function of variations in the
structure of the counter-anions (X, primarily carboxylates) and organic ligands bound to tin (R). Correlations were
sought between the EUV sensitivity of these complexes vs. the strength of the carbon-carboxylate bonds in the counteranions
and vs. the strength of the carbon-tin bonds. No correlation was observed between the strength of the carboncarboxylate
bonds in the counter-anions (X) and the EUV photosensitivity. However, the EUV sensitivity of the tinoxide
films appears to be well-correlated with the strength of the carbon-tin bonds. We hypothesize this correlation
indicates a mechanism of carbon-tin bond homolysis during exposure. Using these tin clusters, 18-nm lines were printed
showcasing the high resolution capabilities of these materials as photoresists for EUV lithography.
The ability to incorporate topographic and other effects of previously patterned layers in ground rule formulation could potentially lead to significant cost savings and shortened time needed for technology ramp-up. The effect of topgraphy coupled with the diminishing depth of focus (DOF) associated with design node shrinks could become a significant yield detractor. With migration of transistor architecture from planer CMOS to 3-D FINFETs, such topographic effect could potentially pose a major challenge for future EUV processes even with significantly lower NA (Numerical Aperture) compared to current immersion DUV processes. We review how resist parameters for a given layer can be optimized to minimize imaging artifacts caused by underlying topography of previous layers. We also demonstrate how residual effects after resist parameter optimization could be handled by a sets of interlayer groundrules or novel OPC methods. Initially we study standard immersion ArF processing, then we extend the methodology to evaluate the potential issues with EUV lithography in the presence of topography. We compare the the nature and magnitude of the topography effects of such results with DUV imaging and show how we can design a new resist system to minimize such effects. We also show how future ground rule development might need to incorporate the layout information of the previously patterned layers.
A resist imaging design that utilizes photoacid inhibition of cationic polymerization and cross-linking during a postexposure
bake step has been studied. The key to the design approach is the use of two different polymerization
catalysts/initiators: (1) a photoacid produced from a photoacid generator (PAG) upon exposure of the resist that can
result in polymerization and cross-linking of the resist matrix and (2) a thermal cross-linking catalyst (TCC) designed to
thermally catalyze epoxide-phenol cross-linking. The TCC can be chosen from a variety of compounds such as
triphenylphosphine (TPP) or imidazole. When only one of these catalysts (e.g TPP or photoacid) is present in an
epoxide and phenol containing resist matrix, it will individually catalyze cross-linking. When they are present together,
they effectively quench one another and little to no cross-linking occurs. This approach can be used to switch the tone of
a resist from negative (photoacid catalyzed) to positive (TCC catalyzed and photoacid inhibited). The effect of the ratio
of TCC:PAG was examined and the optimal ratio for positive tone behavior was determined. Resist contrast can be
modified by optimization of epoxide:phenol ratio in the formulation. Dual tone behavior with positive tone at low dose
and negative tone at higher doses can be observed in certain formulation conditions. Initial EUV patterning shows poor
results, but the source of the poor imaging is not yet understood.
KEYWORDS: Line edge roughness, Polymerization, Optical lithography, Extreme ultraviolet, Molecules, Deep ultraviolet, Polymers, Diffusion, Glasses, Control systems
Negative tone resists based on cross-linking via epoxide/cationic polymerization have a variety of potential advantages
over more traditional positive tone resists based on photoacid catalyzed deprotection including low outgassing, intrinsic
diffusion control, and improved pattern collapse performance through the higher modulus provided by a cross-linked
network. Based on the promising baseline performance achieved previously in simple negative tone systems composed
only of an epoxide functionalized molecular glass and a photoacid generator, a series of different methods and additives
that can be used to control the extent and rate of cross-linking in such systems have been developed and are reported here
which allow for even further improvement in resist performance. Simple addition of base quencher, as is used in
conventional chemically amplified resists, is ineffective in these systems because the patterning reaction mechanism is
different. Any control method must work by modifying the extent and rate of cationic polymerization of epoxides. By
adding molecules containing phenolic OH groups to such an epoxide resist, one can slow the extent of cross-linking due
to introduction of an additional reaction pathway and often a concomitant increase in the resist resin glass transition
temperature. Generalized additives similar to base quencher were also developed based on the addition of strong
nucleophiles such as triphenylphosphine which act essentially as chain termination agents. This approach allows for
improved resolution and LER in negative tone epoxide resist systems. A more superior additive was developed that can
be described as a photodecomposable nucleophile (PDN). The unexposed PDN acts similarly to the strong nucleophile
additives in that it terminates chain propagation. Upon exposure, the PDN can act like a chain transfer agent or an
additional initiator, but no longer has the effect of completely terminating chain propagation. This approach allows for
high levels of control in the nominally unexposed regions of the resist, but maintains high efficiency of cross-linking in
the most highly exposed regions. One particular implementation of a PDN used in this study is the blending of a PAG
(i.e. triphenylsulfonium triflate, TPS-Tf) with a more nucleophilic anion that plays the role of a PDN, with the common
and highly effective, non-nucleophilic PAG that is conventionally used in epoxide photopolymerizations (i.e.
triphenylsulfonium hexafluoroantimonate, TPS-SbF6). Addition of only a few percent of TPS-Tf to a baseline epoxide
resist formulation shows a 5-10 nm improvement in ultimate resolution and a reduction in LER to around 65% as
compared to the baseline resist without the PDN additive while only incurring a moderate increase in imaging dose. By
modulating the amount of the different polymerization control additives, the performance of a particular epoxide resist
was improved from a resolution of greater than 30 nm half pitch and an LER of around 9 nm to a resolution of ~20 nm
half pitch, with an LER of around 4 nm, and a sensitivity of 18 mJ/cm2. By increasing the additive loading even further,
the resolution was improved to ~18 nm half pitch, although with an increase in imaging dose to 39 mJ/cm2.
Roughness control is a key technical issue in extreme ultraviolet (EUV) lithography. It applies to both line and space
(L/S) and contact hole (C/H) structures. Recently, SEMATECH and Tokyo Electron Limited (TEL) developed
several track-based techniques, including developer optimization, FIRM™ (Finishing up by Improved Rinse
Material), and smoothing to reduce structural roughness. The combination of these techniques improved line width
roughness (LWR) about 25% from the 2011 baseline of 32 nm L/S. C/H structures were also tested with the
combination process. This paper describes our latest L/S and C/H roughness performance post-lithography and postetch.
A feasibility study of negative tone develop (NTD) resists for EUV is also included.
This paper demonstrates a new simulation-based methodology for optimizing critical dimension (CD) bias for contact holes (CH) arrays using several different extreme ultraviolet (EUV) resists that were fully calibrated and verified with physical resist models. The bias for CH was optimized using local CD uniformity (CDU) 3-sigma as a cost function. The CD sigma variations of near-neighbor contact holes were simulated as a function of dose-to-size and mask bias, averaged over a large number of stochastic trials. There is a distinct bias for minimum CD sigma accompanied by an increase in the process window. The results are confirmed with wafer data. We will discuss the results in terms of EUV photon shot noise coupled with resist parameters. The simulation results will be used to predict a parameter space for EUV resist that can optimize line edge roughness (LER)/resolution/process window and CDU. Finally, various tradeoffs will be presented that will enable the process to perform in a high volume manufacturing environment.
As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular resists. In terms of processes, there have been growing interests in negative-tone development because of its better performance in printing narrow trenches and contact holes. As new patterning materials, we have synthesized inorganic nanoparticle resists that consist of a metal oxide (HfO2 or ZrO2) core surrounded by organic ligands. The inorganic core provides high etch-resistance while the organic ligands give the resists photochemical functionality. Because of their high etch-resistance, thin films of these nanoparticle photoresists are sufficient to provide good pattern transfer to the substrate and eliminate problems such as pattern collapse. Negative-tone patterning of these nanoparticle photoresists can be achieved by using an organic solvent. The small sizes (1-3nm) of these nanoparticle resists can also enable high-resolution patterning and have the potential to reduce LER. We have successfully shown negative-tone patterning of these nanoparticle resists with features as small as 30 nm using both e-beam and EUV lithography and this paper seeks to study the NTD results with different negative-tone developers.
The influence of resist formulation parameters on line width roughness (LWR) and line edge roughness (LER) were studied systematically. Studied parameters were photoacid generator (PAG) loading, blended versus polymer bound PAG type, PEB temperature, molecular weight of polymer, quencher pKb, molecular size, hydrophobicity, and acid amplifier effect. We found an optimum PAG loading point and post-exposure bake (PEB) temperature. Blending and bound-PAG types gave a similar LWR number at the optimum loading, however, bound-PAG needed much larger dose to size. There was an optimum Mw, below which gave worse LWR, and above which required a larger dose to size. , It was difficult to see a difference of LWR for different types of quencher, however, there was a weak trend of better pattern profile with less basic quenchers. The resists that had acid amplifier in them gave a worse pattern profile and LWR number. From these studies, we found that optimization of PAG loading and quencher type are very important, and baking process optimization is also very important to obtain the best LWR number.
The implementation of our previously reported chemo-epitaxy method for directed self-assembly (DSA) of block copolymers (BCPs) on 300-mm wafers is described in detail. Some challenges to be addressed include edge bead removal control of the layers forming the exposure stack and uniformity of the deposited films across the wafer. With the fine tuning of the process conditions, this flow provides chemically nanopatterned substrates with well-defined geometry and chemistry. After a film of BCP is annealed on the chemical patterns, high degrees of perfection are achieved. A BCP with natural periodicity of 25 nm was assembled on100-nm pitch prepatterns, obtaining 4X feature multiplication. Top-down scanning electron microscope images show a wide process window with depth of focus >200 nm and exposure latitude >40% for lines and spaces of 12.5-nm half-pitch. We provide a platform for future study of the origin of DSA generated defects and their relationship to process conditions and materials that are amenable to use by the semiconductor industry.
From the perspectives of IC fabrication simplification, cost reduction, and waste material cutback, it is highly desirable
to combine the traditional pattern formation step (lithographical processes) and the pattern transfer step (etch processes)
into a single step. Photo-imageable spin-on dielectrics (PSOD) render it possible to achieve the aforementioned goal.
However, the bestowed dual functionalities on PSOD put great challenges on the material design and development.
PSOD needs not only to match all the performances of the advanced resists, but also to undertake all the duties of the
dielectrics on the chips. We wish to report our modular approach employing Si-containing materials to address the
challenge and to meet the requirements from the different material roles. This paper will also discuss the investigation
and progress on lithographic performance, cure behaviors, thermal stability, and electrical and mechanical properties.
EUV lithography is expected to be an important technology for manufacturing 22 nm node and beyond in the
semiconductor industry. To achieve the desired resist RLS performance for such fine feature patterns, multilayer
materials are almost certainly needed to define the overall lithography process. The resist modeling and experiment
studies suggest high EUV absorbance of the film improves resolution, line width roughness and sensitivity. In this paper,
we report the studies of new EUV underlayers (EBL) based on crosslinkable organic underlayer materials with high
EUV photon absorption (EPA) unit. The lithography results for the new EUV underlayer materials have demonstrated
advantages over conventional organic underlayer in terms of resist sensitivity, resolution, process window, pattern
profile, collapse margin, and possibly line width roughness.
Developable BARCs (DBARCs) are useful for implant layers because they eliminate the plasma etch step avoiding
damage to the plasma sensitive layers during implantation. It is expected that DBARC will also be used for non-implant
layers and double exposure technology. AZ has pioneered DBARC based on photosensitive cleave as well as
crosslink/decrosslink mechanisms. In this paper, we focus on various processing factors for 193nm DBARC and discuss
the influences of prewet, thickness, topography and substrates on lithographic performance. Prewet of DBARC before
resist coating deteriorated performance, however, it was resolved by modifying DBARC formulations. The optimized
DBARC showed both optical and lithographic performance comparable to conventional BARCs. DBARCs minimized reflection from the substrates and notching of patterns was improved observed on silicon oxide topography. This paper includes simulation, DBARC contrast curve analyses, and recent dry and immersion exposure results of DBARC.
Image reversal trilayer (IRT) combines three lithographic patterning enhancement approaches: image reversal, spin on
hard masks, and shrink for recess types of features. With IRT, photoresist imaging is done directly on top of the carbon
underlayer. Thick IRT-Carbon Hard Masks (CHM) films provide effective antireflection with high NA lithography and
are more etch resistant than common photoresist. IRT-Silicon Hard Masks (SiHM) can be coated over the resist patterns
in the lithography track. IRT etching reverses the resist pattern into the IRT-SiHM and transfers this image to the IRTCHM.
The recessed patterns in the IRT-CHM are smaller than the CD of the photoresist feature from an inherent
shrinking capability of the IRT-SiHM.
Continuous improvements to both IRT-SiHM and IRT-CHM have been made. Silicon contents in IRT-SiHM have been
pushed as high as possible while not impacting other important properties such as stability, coating quality and resist
compatibility. Newer polysiloxane IRT-SiHM no longer require resist freezing prior to coating. Carbon contents in IRTCHM
have been pushed as high as possible while maintaining solubility and a low absorption which is important when
resist imaging is done directly on top of the IRT-CHM.
Feasibility of this image reversal trilayer process was previously demonstrated on L/S and pillar gratings. Recent work
focused on nonsymmetrical 2D gratings and simultaneous patterning of L/S gratings at different pattern densities.
Particular emphasis is given to pattern density effects which are applicable to any top-coating image reversal process.
This paper describes the lithography, pattern transfer process and 2nd generation hard mask materials developed for IRT
processing.
Developable bottom anti-reflective coatings (DBARC) are an emerging litho material technology. The biggest
advantage of DBARC is that it eliminates the plasma etch step, avoiding damage to plasma sensitive layers during
implantation. AZ has pioneered developable BARC based on photosensitive cleave as well as crosslink/decrosslink
mechanisms. In this paper, we focus on the crosslink/decrosslink concept. DBARC/resist mismatching was corrected
both from process and formulation sides. The optimized DBARC showed comparable lithographic performance as
conventional BARCs. This paper provides the chemical concept of the photosensitive developable DBARCs,
approaches for DBARC/resist matching and performance of photosensitive DBARCs for 248 nm and 193 nm
exposures. Recent 193 nm immersion exposure results are also presented.
Second generation, radiation sensitive, developable 193 Bottom Antireflective coatings (DBARCs) are made solvent
resistant through a crosslinking mechanism activated during post apply bake (PAB) that is reversible by acid catalyzed
reaction upon exposure of the DBARC/resist stack. This allows coating the resists on the DBARC, after PAB, without
dissolution of the antireflective coating. This DBARC approach avoids the plasma etch breakthrough needed for
conventional bottom antireflective coatings which are irreversibly crosslinked, while maintaining excellent reflectivity
control, typically lower than 1% on bare Si. We will give an update on the performance our latest 193 nm DBARC
prototype materials used with different conventional alicyclic based 193 nm resists. For instance, using a binary mask
with conventional illumination several of our prototype DBARC formulations were able to resolve 120 nm trench
features with a 250 nm pitch.
Trilayer stacks with alternating etch selectivity were developed and extensively investigated
for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer
function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich
underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin
photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many
different behaviors were observed in comparison to conventional BARC. Lithographic
performance, stability, and reworkability were among the most challenging issues for trilayer
scheme.
Despite of the rapid improvement in lithographic performance and stability of trilayer
materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry
remained a challenging problem for manufacturability. The dual function requirement of reflection
control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials.
Si-BARC containing both organic moiety and inorganic backbone were extensively studied and
demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the
revisit of different wet chemistries and process adjustment is essential to achieve desirable results.
In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands
subtle rework differentiation by wet chemistry from a chemistry point of view.
In our development, we strived to identify rework solutions for trilayer materials in both
front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including
diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The
optimization of solution mixture ratios and processing conditions was systematically studied.
Thorough defect inspection after rework was performed to ensure the readiness for
manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were
carried out and excellent results are reported.
To obtain high resolution lithography in semiconductor industry for 45 nm node and beyond, 193 nm immersion lithography is a state-of-the-art technology. The hyper NA process in immersion technology requires unique design of bottom antireflective coating (BARC) materials to control reflectivity and improve lithography performance. Based on simulations, high n low k materials are suitable for BARC applications in hyper NA process. This paper describes the principle of the material development of high n low k BARC materials and its applications in hyper NA lithography process. The BARC material contains a dye with absorbance maximum lower than the exposure wavelength, e.g 170-190 nm. The enhancement of n values due to anomalous dispersion was illustrated by dispersion curves of new BARC materials. The relationship of the optical indices of BARC materials at 193 nm with the absorption properties of dyes was investigated. The novel high n low k materials have shown excellent lithography performances under dry and immersion conditions.
Trilayer stacks with alternating etch selectivity were developed and extensively investigated
for high NA immersion lithography at 32nm node and beyond. This paper discusses the
fundamental aspects of the Si-containing BARC (Si-BARC) materials with ultra-high silicon
content and carbon-rich underlayers that we developed. Designing of materials at a molecular level
is presented. It was demonstrated that this fundamental understanding assisted in achieving
satisfactory shelf life and excellent coating defect results.
Prolith® simulations using trilayer stacks showed superior reflectivity control for hyper-NA
immersion lithography. The impact of high incident angles on substrate reflectivity was analyzed
and this paper demonstrated that trilayer scheme provides wider process windows and is more
tolerant to topography than conventional single layer BARC. Extensive resist compatibility
investigation was conducted and the root causes for poor lithography results were investigated.
Excellent 45nm dense lines performance employing the spin-on trilayer stack on a 1.2 NA
immersion scanner is reported. In addition, pattern transfers were successfully carried out and the
Si-BARC with high silicon content demonstrated outstanding masking property. In comparison to
the theoretical %Si values, better correlation with etch selectivity was observed with
experimental %Si. Furthermore, this paper addresses the wet rework of trilayer materials and
results using Piranha rework are presented. Clean 12in wafers were obtained after reworking
trilayer stacks, as evidenced by defect analysis.
Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF
lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to
analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an
ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their
impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is
demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket
coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.
As critical dimensions in integrated circuit (IC) device fabrication continue to shrink to less than 90 nm, designing multi-functional organic bottom anti-reflective coating (BARC) materials has become a challenge. In this paper, we report novel high performance BARC materials which are simultaneously capable of controlling reflectivity, planarizing on substrate surface, low bias filling without forming voids, low outgassing, high etch selectivity with resists and broad compatibility with resists. The new materials comprise of a chromophore that absorbs at 193 nm to give anti-reflective properties. By intriguing design of the crosslinking system to minimize the amount of low molecular weight additives and the by-product formation in the curing process, low-bias and low sublimation filling without formation of voids are achieved. In addition, the performance of the high etch rate BARC material can be further enhanced by blending with a low k high etch rate (~2.4X) material to achieve ultra high etch rate for ArF lithographic process. The filling properties, etch selectivity, lithographic and outgassing data of the new BARC materials will be presented.
We will discuss our recent results using a second generation radiation sensitive developable 193 Bottom Antireflective coatings (DBARCs). These DBARC materials are made solvent resistant the application of a resist coating on top of them through a crosslinking mechanism that is reversible by acid catalyzed reaction upon exposure of the DBARC/resist stack. Typically this is done by crosslinking a copolymer containing a hydroxyl moiety with a polyfunctional vinylether during post applied bake. This DBARC approach, after exposure, allows for development of the stack in exposed areas down to the substrate eschewing the plasma etch breakthrough needed for conventional bottom antireflective coatings which are irreversibly crosslinked. We will give an update on the performance our latest 193 nm DBARC materials used with different Implant 193 nm resists when using a phase shift mask with off axis illumination.
Substrate reflectivity control plays an important role in immersion lithography. Multilayer
bottom anti-reflective coatings (B.A.R.C.s) become necessary. This paper will focus on the
recent development in organic ArF B.A.R.C. for immersion lithography. Single layer low k ArF
B.A.R.C.s in conjunction with multilayer CVD hard mask and dual layer organic ArF B.A.R.C.
application will be discussed. High NA dry and wet lithography data will be presented. We will
also present the etch rate data, defect data and out-gassing property of these new B.A.R.C.
materials.
New challenges face ArF bottom antireflection coatings (BARCs) with the implementation
of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior
reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical
indices, are necessary to effectively lower substrate reflectivity through a full range of incident
angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist,
should be stacked with an alternating elemental composition to amplify vertical resolution during
etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film
thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and
antireflection properties in the same two layer system facilitates pattern transfer as a whole rather
than just enhancing lithography. As with any material expected to exhibit multiple roles there is a
delicate balance between optimizing materials with respect to one of its roles while not impairing its
other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich
underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at
finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic
performance of high silicon content and high carbon content BARC materials designed to meet the
demands of both high NA lithography and trilayer processing.
We will discuss our approach towards a second generation radiation sensitive developable bottom antireflective
coating (DBARC's) for 193 nm. We will show imaging results (1:1 L/S features down to 140 nm) for some first
generation implant resist material based upon a fluorinated resins and also show relative implant resistance of these first
generation fluorinated resists towards As implantation (15 KeV at 5x1015 dose with 20 x 10-4 amp). Also, discussed
will be a second generation of implant resists based on a non-fluorinated resins. Surprisingly, we found that the nonfluorinated
materials gave better implant resistance (~2-3 X1011 atoms/cm2) despite the higher atomic number of
fluorine compared to hydrogen in the fluorinated implant materials (~2-5X1012 atoms/cm2). Finally, we will give an
update on the lithographic performance of this second generation of implant resists.
A first generation DBARC applicable for 1st minimum 193nm lithography is described in this paper. The polymer used in
this DBARC is insoluble in the casting solvent of the resist, which is propyleneglycolmonomethyletheracetate (PGMEA).
Photo acid generator (PAG) and base extractions from the DBARC coating by the resist casting solvent were examined
by the DBARC dissolution rates in the developer, before and after solvent treatments. Although the resist and the
DBARC do not appear to intermix, strong interaction between the two is evident by their lithographic performance and
dissolution rate study.
We report about the development of novel nanocomposite resists that incorporate colloidal silica nanoparticles into
conventional resist materials to yield thick coatings with both excellent lithographic properties and significantly
increased plasma etch resistance. 10-50 wt% silica nanoparticles of 10-15 nm in size were dispersed homogeneously in a
variety of standard resist resins by a simple process. The nanocomposite resists have similar lithographic performances
to conventional resists without silica nanoparticles. The nanocomposite resists also show excellent process window
capability and stability. Oxygen plasma etch and deep reactive ion etching (DRIE) processes were used to evaluate the
etch resistance of the nanocomposite resists. Compared with standard photoresists, the oxygen plasma etch rate is
reduced by 38-80% when the silica content increases from 20 to 50 wt%. The etch selectivity of nanocomposite resists
with 40 wt% silica is increased by 70% in DRIE test.
As the feature sizes of integrated circuits shrink, highly anisotropic etching process (i.e., ion-assisted plasma etch, or reactive ion etch (RIE)), becomes even more essential for successful pattern transfer in the fabrication of semiconductor devices. The stringent 193 nm lithography process necessitates the use of bottom anti-reflective coating (BARC) for controlling reflections and improving swing ratios. Prior to RIE of a patterned wafer, the BARC layer must first be opened to allow pattern transfer from the resist mask to the underlying films. As we enter the era of sub-90nm imaging, minimum loss of the photoresist during the BARC open step is becoming more critical, since the demand for higher optical resolution dictates the use of ever thinner resist films. This in turn requires higher etch rate of BARC materials. In this paper we report on the impact of etching gas chemistries on the etch rates of BARC materials. The correlation between the etch chemistry and BARC products will be discussed. Reactive ion etch rates for blanket BARC coatings and BARCs under resist patterns were measured. Etch rates of BARC products of various material compositions were measured with a typical ArF resist as reference. It is well known that the chemical composition and structure of organic materials essentially determine the etch rates under certain etch process conditions. The correlations between etch rates and BARC polymer chemistry are reported. Etch chemistries, (i.e. the chemical interaction of plasma reactive ions with BARC materials), may also have profound effects on etch rates. Here we report on results obtained using four etching gas chemistries to study how oxygen contents, polymerizing gases, and inert gas effect the etch rates of different ArF BARC products.
The performance requirements for ultra-thick photoresists are rapidly increasing with the dramatic growth in lithographic applications that require electroplating processes. Two of the main applications for ultra-thick photoresists are advanced packaging and nanotechnology (MEMS). Flipchip packaging has become widely adopted to address electrical device performance and chip form factor considerations. The growth in the nanotechnology market is driven by a wide range of products, which include accelerometers, ink jet print heads, biomedical sensors and optical switches. The requirements of thick photoresists for solder electroplating are significantly different from typical thin photoresists used in front end of line applications. As the photoresist becomes thicker, processing times increase for many process steps. Photospeed gets slower due to the requirements for more chemical reactions per area of coating. Coating uniformity and edge bead control also become more difficult as photoresist films get thicker and time delay issues between process steps can arise. This result has led to the requirement for special photoresist formulations for thick photoresist films. These are traditionally positive tone DNQ-Novolak materials such as AZ 50XT. Such materials can be designed to work for a particular range of thicknesses, but as the desired thicknesses increases the processing times can become very long for high volume manufacturing. Many new bumping schemes require photoresists in a 60 to 70 μm thickness range. While DNQ-Novolak chemistry can work, there is a desire for faster alternatives to improve total cost of ownership (COO) of the lithography cell. In order to have fast photospeeds and reasonable processing times a chemistry that is very photo efficient is needed. Negative tone cross linking chemistries, which can give tens of thousands of chemical events for one photochemical event, provide excellent photospeed and process times. Positive tone chemically amplified photoresist provide hundreds or thousands of chemical events per photochemical event. They are somewhat slower in photospeed than free radical materials, but still provide reasonable photospeeds.
This paper compares the lithography and processing performance of these two newer types of thick film chemistries with the performance of a state of the art DNQ-Novolak thick film photoresist. The lithographic performance of these three ultra-thick positive photoresists were optimized to control critical dimensions (CD), sidewall angles and aspect ratios. The experimental results includes process latitude studies, electroplating performance and stripping performance. The general result is that negative free radical chemistry has the edge in photo-speed and processing times, but positive photoresist is better for stripping and perhaps for process integration.
Extending the resolution capability of 193nm lithography through the implementation of immersion has created new challenges for ArF B.A.R.C.s. The biggest of which will be controlling reflectivity over a wider range of incident angles of the incoming imaging rays. An optimum B.A.R.C. thickness will depend on the angle of incidence of the light in the B.A.R.C. and will increase as the angle increases. At high angles different polarization have different optimum thicknesses. These confounding effects will make it increasingly difficult to control reflectivity over a range of angles through interference effects within a single homogenous B.A.R.C. Unlike single layer B.A.R.C.s, multilayer B.A.R.C.s are capable of suppressing reflectivity through a wide range of incident angles. In fact, remarkable improvements in antireflective properties can be achieved with respect to CD control and through angle performance with the simplest form of a multilayer B.A.R.C., a dual layer. Here we discuss the attributes of an all organic dual layer B.A.R.C. through simulations and preliminary experiments. One attribute of an organic over inorganic B.A.R.C. in high-NA lithography is its ability to planarize topography. ArF scanners designed to meet the needs of the 45nm node will have a very small depth-of-focus (DOF) which will require planar surfaces.
The stringent requirements facing modern chemically amplified photoresists and antireflective coatings make computer physical simulation methods a valuable tool for photoresist and ARC research and design. Hypothetical microlithographic processes involving toolsets that are unavailable to the experimenter may be evaluated. Complex photoresist physical reaction phenomena, often difficult to measure experimentally, may be evaluated within the limits of the mathematical models used. This work details the mechanics and application of a custom simulation tool written for the modeled study of reactive soluble ARCs (DBARCs), soluble ARCs, and photoresist-ARC interactions - phenomena not readily modeled by commercially available software at the time of this paper. Photoresist and ARC interactions are modeled by computing two-dimensional composite diffusion and reaction. Soluble ARCs, either reactive (DBARCs) or nonreactive, are modeled using composite diffusion, a full level-set front tracking development method and multiple development rate functions. Physical models, mathematical formulations and numerical methods of solution are shown. Scenarios hypothesizing the origin of photoresist profile foot formation are discussed and modeled. Models of reactive, soluble ARCs (DBARCs) are compared to models of constant development rate soluble ARCs. The effects of specific reactant diffusion and reaction upon DBARC dissolution rate contours are modeled.
So far the IC industry is using dyed resist and TARC for the implant layer lithography. However, this approach cannot provide the necessary CD control for the 65nm node and below. One could use organic BARC to improve CD control but the dry etching process can cause substrate damage and also add considerable expense to the process. Cox et al at Brewer Science have reported some wet developable BARCs with TMAH soluble polymers. However the development is isotropic for these materials and it is difficult to control the development process for profile shape and across wafer uniformity. In this paper we describe new developable KrF and ArF photosensitive developable BARCs (DBARCs) that use the concepts of positive chemical amplified resist concept. These DBARCs have significant advantages over the conventional BARCs and also over processes using TARC. These new DBARC provide a large pattern collapse margin and a good process window.
We will report in this study on the process evaluation of the combination of Clariant KrF and ArF resists and DBARCs. As the DBARC itself is also photosensitive the matching of the sensitivity with resist is important. The KrF DBARC and resist combination gives 180nm lines and spaces with a good process window. This meets the requirements of 65nm implant processes.
As the semiconductor industry sails into the 100nm node and beyond, enabled by the integration of ArF lithography, new Bottom Antireflective Coatings (B.A.R.C.s) are required to address challenges associated with this new technology. Of these challenges, higher etch rates and better compatibility with the over coated resist are of central importance. New polymer platforms and additives in B.A.R.C. formulations will be required to overcome these challenges. The intent of this publication is to introduce our newly developed B.A.R.C.s designed to addresses the challenges of ArF lithography. All are currently available for integration into mass production of sub 100nm integrated circuit devices.
A newly developed, high resolution negative i-line resist product family, is introduced in this paper. Variation in resist film absorption provides optimum inverted sidewall slopes adequate for most metal lift-off applications. Resist resolution below 0.3micrometers is demonstrated. The capability of further resolution enhancement with the use of a simple shrink process is also demonstrated.
Due to miniaturization of semiconductor devices, ArF (193nm) lithography is likely expected to be used for sub 100nm regime. For sub 100nm devices, high NA (>=0.70) exposure tools and various strong off-axis illumination (OAI) conditions should be used. But unlike KrF (248nm) lithography, resist pattern collapse becomes one of the most serious problems in ArF lithography. In order to solve pattern collapse problem, thin resist process is generally introduced but its poor etch resistance is an obstacle for being applied in real production process. Due to this reason, new kinds of organic BARC materials are investigated and optimized to avoid pattern collapse. As mentioned, the most important issue in ArF organic BARC is believed to be the pattern collapse problem. A number of organic BARCs were made by varying polymer, cross-linker, thermal acid generator, and additive. We tried to analyze the key factor in terms of pattern collapse. This paper is to compare the various elements of the organic BARC formulation and to discuss what brings and causes pattern collapse.
To have excellent compatibility with ArF resists is the goal in development of bottom antireflective coatings (B.A.R.C.) for 193nm lithographic application. We need to be able to adjust chemical compatibility and optical properties of ArF B.A.R.C. to accommodate various film stacks. We need to deliver ArF B.A.R.C. materials with excellent coating uniformity, long shelf life and ultra-low defect level. In the meantime, we also need to improve etch rate of the ArF B.A.R.C.s for shorter etch time. In this paper, we will focus on our recent efforts to optimize the organic ArF B.A.R.C.s' compatibility with ArF resists in the areas mentioned above.
The effect of scanning electron microscope (SEM) measurements on the dimensions of resist features was studied for 193nm resist materials. Initial measurements showed that resist lines became smaller as they were repeatedly measured, with size changes of up to 40 to 50 nm after 50 to a 100 measurements. There was a significant size change for the two 193nm resist systems tested, an acrylate based single layer system and a hybrid single layer system, although the magnitude of the effect was different for each system. The total dose per SEM measurement seen locally by the resist was calculated to be on the order of 100 (mu) C/cm$_2), a significant amount by the standards of e-beam induced chemistry. Entire wafers of the hybrid system were cured in an e-beam curing system to enable chemical characterization of irradiated resist. It was found that there was loss of the anhydride functionality when blanket-coated wafers of the hybrid system were cured and a corresponding reduction in film thickness. The remaining material was cross-linked. However, to our surprise, we found that e-beam curing of exposed line and space patterns id not result in any critical dimension (CD) change, any height change, or any profile change. What is more, the cured line and spaces patterns did not show significant line width change when repeatedly measured in a SEM. It is speculated that the resists gets hot while being measured and how hot affects how much shrinkage is seen. Depending on the temperature reached, either cross-linking or annealing will be the fastest process; and the balance between the two will determine how much shrinkage is seen during measurement.
Thin imaging systems have the potential for excellent lithographic performance and good etch properties. In such systems, the optical absorption of the undercoat and of the imaging layer can be adjusted through formulation and chemistry modifications. As the substrate underneath the resist undercoat changes, the optimal k for the undercoat will change. The reflectivity of the underlayer resist interface will be roughly proportional to the square of the k of the underlayer. As k gets bigger the standing wave in the resist gets stronger, but the effects of varying substrate layer thickness underneath the underlayer are suppressed. It is found that even for very reflective substrate stacks, both types of reflectivity effects are minimal with an undercoat k of about 0.20 to 0.25. The optimal underlayer k depends on how thicken an underlay er is used. Such a system gives better control of reflection and topographic effects than does a single layer plus BARC system. Experiments with different underlayers having different k's show that k can be varied chemically while retaining good etch performance.
Through a series of statistical design experiments we optimized the lithographic performance of a 193 nm single layer resists based on a norbornene-maleic anhydride matrix resin. Several interesting findings were found including that having the PEB temperature improved the performance of the resist. The polymer composition was found to strongly influence the lithographic performance of the resist. Variables that we examined included acrylate loading and blocking level. By optimizing the composition of the polymer, we have obtained resist with high etch resistance, square profiles and 0.130 micron dense line ultimate resolution in 0.5 micron thick films. The resist formulations are compatible with industry standard 0.262 N TMAH. During exposure the resists does not suffer from the outgassing of volatile species.
A series of new polymers for 193 nm single layer resist based on maleic anhydride/cycloolefin systems with minimum amount of acrylate units were synthesized. In order to minimize the acrylate content, the cycloolefin moiety of the polymers was functionalized with side groups designed to either promotes adhesion to silicon substrate and/or impart the imaging functionality. All polymers were prepared by free-radical polymerization in moderate to high yields and were characterized by variety of techniques. The initial lithographic evaluation of the new resists was carried out. It was found that acrylates can be successfully replaced with appropriately substituted cycloolefins to provide good resolution. The etch resistance of the new materials generally improves with increase in cycloolefin content. The Onishi and Kunz type plots will be discussed.
Magnification of mask dimensional error is examined and quantified in terms of the mask error factor (MEF) for line and hole patterns on three types of masks: chrome-on-glass (COG), attenuated phase-shifting mask (PSM) and alternating PSM. The MEF is unity for large features, but increases rapidly when the critical dimension (CD) is less than 0.5 (lambda) /NA for line-space patterns and 0.75 (lambda) /NA for contacts. In general dark-field spaces exhibit higher sensitivity to mask dimensional error than light-field lines. Sensitivity of attenuated PSMs is similar to COG masks, even for applications in which attenuated PSMs provide benefits in process latitude. Alternating PSMs have the lowest MEF values. Although the MEF has only a slight dependence on feature nesting for contacts, dense lines and spaces exhibit markedly higher MEF values than isolated features. The MEF of a 0.35 (lambda) /NA isolated line is 1.6 whereas that of a dense line of the same dimension is 4.3 illumination is effective in reducing the mask error sensitivity of dense lines. Dose variation causes changes in the MEF of contacts but has little effect on line-space features; focus error degrades (increases the value of) the MEF of both pattern types. A high diffusion and low contrast photoresist process also worsens the MEF. Consequences of mask CD error amplification include tightening of mask specification, design grid reduction, shift in optimal mask bias and enhanced defect printability.
The process windows and capabilities of double exposure techniques with binary and attenuated masks are explored using simulation and experiment, including the effects of resist properties, illumination conditions and overlay error. Here it is shown that by using a low partial coherence factor (sigma) for the two exposures, the total window is considerably improved over that obtained using higher partial coherence illumination. We call this process ORAMEX, which stands for Ordinary Resist And Multiple EXposure. It was found that the process window for nested lines and spaces using ORAMEX is considerably better than that for conventional illumination. This is shown for aerial images and for aerial images plus a resist model with contrast and diffusion length similar to that of state of the art Deep UV resists. In fact, the total process windows found for ORAMEX show good process latitudes for both dense and isolated features, with ORAMEX usually enhancing dose latitude more than single exposure off axis illumination does. Overlay errors are found not to affect the process window for individual features. However, they do affect the common window for every other line (in positive resist) but not spaces. It was also found that using attenuated masks instead of binary masks further improves the process window and resolution of ORAMEX. Experimental results agree with simulation and show a process window for 150 nm lines and spaces with over 0.4 micrometer depth of focus and 15% dose latitude in 0.6 micrometer of resist using ORAMEX and chrome on glass masks. Using attenuated masks and ORAMEX a similar process window (0.4 micrometer DOF and 16% dose latitude) was obtained for 125 nm lines and spaces. Both results were obtained on a 0.6 NA Deep UV stepper using commercial positive resist.
Current negative tone resists based on poly(4- hydroxystyrene) and aminoplast crosslinkers suffer from the limited solubility of commercially available crosslinkers in the most common casting solvents. The aminoplast crosslinkers also increase the dissolution rate of the base resin in aqueous alkaline developer. The lithographic performance of these resists is often limited by microbridging at high resolution. In this paper, synthesis of a series of glycoluril based aminoplast crosslinkers is described and the lithographic performance of resist formulations incorporating such compounds is discussed.
The synthesis, characterization, and lithographic evaluation of a polyhydroxystyrene (PHS) modified with isopropyloxycarbonate groups is described. The inert blocking group is attached to the hydroxyl sites on PHS resin to slow the dissolution rate and make the resin useful in resists designed for 0.263 N TMAH developers. A negative tone resist (CGR-IP) that is formulated with the modified polymer is compatible with the industry standard 0.263 N TMAH developer and is capable of resolving 0.22 micrometer L/S features and 0.14 micrometer isolated lines on a 0.50 NA imaging system. Reaction with PHS resin occurs primarily at the phenolic sites as shown by carbon-13 NMR and 10% protection is sufficient to lower the dissolution rate to an acceptable level so that there is less than 50 angstrom film loss in exposed areas. The blocking group described here is not acid labile and reaming intact after the resist film is baked at 150 degrees Celsius.
This paper presents a comparative analysis of binary `chrome-on-glass,' attenuated, biased rim, and phase edge shifted DUV lithography solutions for advanced circuitry in the sub-250 nm image size regime. Lithography techniques are compared based on design complexity, ground rule impact, process latitude, and cost. Data are presented from aerial image simulations (SPLAT), aerial image measurements (AIMSR), and SEM measurements. Phase edge shifted designs clearly exhibit the largest process window for 200 nm linewidths exposed on a 0.5 NA 248 nm DUV stepper. The complexity of the mask engineering (design as well as manufacture) and exposure process for this `hard' phase shifting technique warrants the study of less powerful but also less restrictive phase shifting options. This paper investigates the tradeoffs associated with various applicable phase shift mask (PSM) techniques and presents recommendations based on specific program requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.