We verify image fidelity after mask 3D aware-OPC (using Mentor Graphics Domain Decomposition Method) and quantify pattern placement error (PPE) on wafer. First we show experimental pattern fidelity improvement of DDM-OPCed 2D-images of logic devices in 10 nm technology node with the latest NXE3300B EUV exposure tool. We then compare pattern fidelity in aerial images after DDM-OPC to aerial images using rigorous simulation of electric and magnetic field. Finally we quantify PPE in resist images with modeled 1D layouts after a perfect OPC. The perfect OPC corrects optical proximity effect, azimuthal angle through slit, and lens aberration. The forecasted PPE residual error after perfect OPC is 0.21 nm (x) and 0.76 nm (y) that can be attributed to uncorrectable components of wafer defocus and mask flatness. For modeling and compensation of pattern placement error, a new metrology method should be developed.
Computational lithography appeared with people's expectation expanding to reduce total lithography cost and to
push the resolution limit for launching novel LSI fabrication processes and masks toward advanced LSI devices of
22 nm and beyond. Recently computational lithography grows up into an integration step to achieve the optimum
solution between an illumination source and a mask for creating the resist image on a wafer. This integration
scheme enables us not only to achieve ultimate single exposure but also to attain higher resolution beyond the
physical limitation by means of double patterning technique. The advanced computational lithography requires
massive data volume that urges us to construct further effective multi parallel methods. Photomask Japan
highlighted the computational lithography in a panel discussion titled "Mask Manufacturing with Massive or
Multi-parallel Method" and sub-titled "Massive or Multi-parallel" drives 22 nm (half pitch 32 nm) litho-mask
solution?" We reached a conclusion of "Enhancing computation power and more sophisticated computation
methods could solve the difficulties about further complicated computation".
In extreme ultraviolet (EUV) lithography, defocusing influences on a pattern position shift due to off-axis
incident light on a reflective mask. The pattern position shift with defocusing generates a newly random error
source of overlay. Analyzing an exposure and defocus window (ED-window) being merged with a distribution of
the pattern position shift reveals that the new error source creates a small impact for the criterion within 10% of
the overlay tolerance. Next, 3rd order coma aberration and two modified illumination sources, annular and dipole,
are investigated with respect to a pattern position shift which is associated with the asymmetrical phase
distribution of diffracted rays. The results show that coma aberrations create no significant impact, and also the
modified illumination sources are of little concern whenever semi-dense pitches are forbidden.
This is a report on a panel discussion in Photomask Japan held on April 19, 2006. We discussed mask technologies
for extreme ultraviolet lithography (EUVL). Seven panelists presented their views on critical issues in the
production of practical EUV masks. The wrap-up is as follows: A local polishing method has yielded an average
flatness of 70 nm, but it increases both surface roughness and defect density. Regarding defect density, the large
gap between the target and the one expected from the learning curve led to the proposal of realistic (i.e., relaxed)
specifications for flatness and defect density. The capability of detecting defects with a PSL equivalent size of 25
nm or less remains an issue. It was also suggested that the local slope of the back surface of blanks (masks) should
be standardized. Mask patterning has already been demonstrated to be sufficient for the 32-nm half pitch node.
Captive and commercial mask shops are ready to provide masks for full-field exposure tools. Regarding handling
and shipping, dual-pod type carriers and robotic handling have been demonstrated to adequately protect masks
from particle contamination. Finally, a 3-point support method in EB writing was examined in conjunction with a
new EB correction scheme.
This study investigated the feasibility of individual mask-pattern corrections to compensate for the effects of
off-axis incidence and optical proximity effects for a reflective mask in EUV lithography. Individual mask
pattern corrections for the effects of off-axis incidence are made by biasing, and then merged with conventional
optical proximity effect corrections (OPC). This method provides good pattern fidelity in printed images on a
wafer. Three evaluation functions were used to determine the amount of bias; they are related to the energy of the
light reflected from a mask surface, the energy of 0th-order diffracted light, and the energy of light passing
through the pupil. Merging to obtain the final corrected mask pattern allows the use of conventional OPC
algorithms and is a simple method that is applicable regardless of the relationship between the direction of the
incident light and the orientation of the edges of mask patterns.
This study investigated what impact smoothing and non-smoothing deposition have on printability on a wafer for scratch and bump defects. For bump defects, smoothing deposition does not widen a defect and provides a comparatively large allowable size. In contrast, for scratch defects it widens a defect from the bottom to the top of the multilayer when mass is conserved in every monolayer. The expansion of a scratch defect markedly degrades the quality of a printed image on a wafer. For scratch defects, a detailed evaluation of the light intensity distributions on both the mask and wafer surfaces, and the diffracted-light distribution, was carried out to investigate how smoothing deposition degrades image quality. It was concluded that, for scratch defects, the energy loss at the pupil degrades the image quality on a wafer, since weak diffraction peaks produced by the defect spread through all diffraction orders. Besides the allowable size of bump, scratch, and pit defects was determined using the criterion of a maximum CD variation of 10%.
In EUV lithography, when off-axis incident light illuminates an absorber pattern on a reflective multilayer substrate, the side wall of the pattern facing the illumination reflects and absorbs the light, and the side wall on the opposite side casts a shadow. These effects reduce the energy used to create a printed image on a wafer, thereby lowering the image contrast. In addition, when an absorber pattern has a vertical taper, the taper complicates the reflection, absorption and shadowing characteristics. This paper reports on an investigation of how a vertically tapered absorber pattern influences those characteristics and printability based on an analysis of diffracted rays. The printability results revealed that the taper introduces a new error source, namely, the CD (critical dimension) difference on wafer between parallel and perpendicular incidences. The allowable CD difference was found to determine the latitude in the side-wall angle. Moreover, it was found that a thinner absorber increases both the allowable CD difference and the latitude in the side-wall angle at the same time.
In EUV lithography, off-axis incident light on a mask can produce a printed image that is asymmetrical with respect to its center, even when a line and space pattern is perfectly symmetrical on the mask. A theoretical analysis of diffracted light shows that the asymmetry tends to occur, when diffracted rays of the 2nd order or higher pass through the pupil and are involved in creating the image on a wafer. In contrast, when only 0th- and 1st-order diffracted rays pass through the pupil, the printed image is always symmetrical regardless of any asymmetry in their amplitude and/or phase. In order to discover the causes of the asymmetry in aerial images, we used line-and-space line patterns with a pitch of 88 nm on a wafer, because this pattern pitch generates 0th-, 1st- and 2nd-order rays for the optical conditions of an NA of 0.25 and a σ of 0.80. Under these conditions, a thicker Ta absorber (107 nm) produces greater asymmetry than a thinner one (64 nm), especially when the target linewidth is around 44 nm (on wafer). An analysis of diffracted light and the combinations of diffraction orders involved in creating an aerial image reveals that asymmetry in a printed image is caused by 2nd-order diffracted rays.
The printability of a dense line pattern and a model pattern using two configurations of absorber and buffer materials for the mask were examined through simulations. An absorber material with a small extinction coefficient of 0.025 must be thicker than one with a large extinction coefficient fo 0.040 to ensure sufficient reflectance contrast. However, a thick absorber enhances the adverse influence of off-axis incidence on the critical dimensions and pattern fidelity of a dense pattern layout, and the influence increases markedly when the incident angle is over 6.2°. Thus, and absorber material with a large extinction coefficient is advantageous in reducing the influence of off-axis incidence because it allows the use of a thinner layer. Another approach to reducing this influence is mask pattern correction. A newly developed algorithm makes the mask near-field energy for off-axis incidence the same as that for normal incidence. This simple correction compensates effectively for the influence of off-axis incidence and provides excellent pattern fidelity. The algorithm enable the use of absorber and buffer materials with a small extinction coefficient and also a large incident angle of over 6.2°.
The strong smoothing effect resulting from recent progress in multilayer deposition technology has a great influence on the imaging characteristics of mask blank defects. The imaging characteristics of such defects were investigated through accurate simulations employing the FDTD method; and the effect of multilayer smoothing on the aerial image was examined. Strong smoothing was found to suppress the degradation in the aerial image due to phase defects while at the same time giving rise to phase defects that are undetectable iwth a visible-light inspection tool. 3-dimensional simulations also indicated the existence of such defects. Moreover, the aerial image of phase defects after repair with an electron beam was also investigated. Repair was found to be effective when there was no smoothing, but not so effective when there was strong smoothing. Experimental verification of these results will be attempted in the near future.
The dependence of printability on the thicknesses of the TaN absorber and Ru buffer layers is investigated using 22-nm-wide semi-dense line patterns. Simulations are carried out using two sets of thicknesses corresponding to optical densities (OD) of 2 and 3. Thicker layers (i.e. larger OD) are found to yield a smaller linewidth variation when the exposure light is focused on the wafer. However, they also enhance the shadowing due to off-axis illumination on a mask, which degrades the pattern edge contrast of the aerial image under defocused conditions. Estimations of the linewidth variation and the pattern position shift for a focal latitude of ± 50 nm show that thinner absorber and buffer layers (i.e. OD = 2) are more practical than thicker ones. An examination of the reflectance loss of the Si cap layer on a multilayer blank due to oxidation reveals that it is essential to select an appropriate initial thickness of the Si to ensure sufficient reflectance after the Si is oxidized by contaminants.
The printing of line patterns using an attenuated phase shift mask (PSM) and an alternating PSM was examined through simulations. The attenuated PSM consisted of a bilayer structure, in which multiple interference provides a large latitude for appropriate thicknesses of the absorber and buffer layers. The attenuated PSM provides greater depth of focus (DOF) for sparse lines. The alternating PSM has better aerial-image contrast not only for dense lines but also for isolated lines. An additive structure is proposed for the alternating PSM, which does not require any etching of the glass substrate. Given an appropriate stack of materials, this structure provides a phase shift of 180 degrees and the same reflectance simultaneously. In this study, the printability of 18-nm-wide lines was investigated for an attenuated PSM with annular illumination and an alternating PSM with normal illumination with a small σ of 0.3.
An isolated local non-flatness model was devised to investigate the influence of a non-flat mask on pattern shift. The causes of pattern shift were divided into two types: out-of-plane displacement (OPD) of the mask, and the global height variation (GHV) resulting from OPD. GHV induces a pattern shift that depends on the incident angle of the EUV beam. Reducing the incident angle was found to mitigate pattern shift on a wafer. Although the pattern shift due to OPD is negligible when the P-V flatness is more than 100 mm, the effect of GHV is not negligible. To keep the pattern shift on a mask below 12 nm, the upper limit on the non-flatness of the mask is a P-V flatness of less than 100 nm and a period of more than 300 mm. In addition, the global slope must be less than 1 μrad for an EUV mask substrate.
Optical proximity effect corrections (OPC) and printability for phase shift masks were examined through simulations. Off-axis illumination exposing a reflective mask gives rise to a shadowing effect that produces an imbalance in the intensity distribution of the light diffracted from mask patterns. It was found that the shifts in the edges of 30-nm-wide T-shaped patterns on a wafer due to both shadowing and optical proximity effects could be corrected simultaneously at an incident angle of 4.84° to obtain the proper edge positions. An attenuated phase shift mask with various values for the attenuated reflectance was used to evaluate the printability of isolated line and hole patterns. Annular illumination was found to increase the DOF for isolated patterns 18 nm wide on a wafer, and a DOF of 300 nm was obtained for hole patterns 40nm wide on a wafer. Printability was also evaluated for an alternating phase shift mask (alt-PSM) with an additive structure. An alt-PSM significantly increases the pattern contrast and enlarges the DOF up to 300 urn for isolated patterns 18 nm wide on a wafer.
In-plane displacement (IPD) of an extreme ultraviolet lithography (EUVL) mask in a flat state during the electrostatic chucking stage without friction is examined through simulations. For predicting IPD of an EUVL mask, a simulation model based on two-dimensional plane stress theory is developed. With regard to the absorber patterns both square and rectangle, film stress and absorber coverage dependency of IPD is investigated. Mitigation of IPD to the 1-nm level is possible by reducing absorber stress to ±100 MPa. The change in surface height caused by absorber film stress of ±500 MPa is less than 1 nm. The influence of change in surface height on image placement shift was found to be negligible because the image placement shift is 0.03 nm.
Off-axis incident light produces shadowing and an imbalance in the diffracted light. Shadowing causes a change in the critical dimension (CD) and a shift in the position of patterns due to the multiple interference of the absorber and buffer layers. In addition, the imbalance in the diffracted light influences the optical proximity-effect correction (OPC) of actual patterns with a process factor k1 below 0.6. In this study, the main factors influencing OPC were investigated. These include asymmetric aberrations and optical proximity effects (OPE) in line patterns. OPC was then applied to a T-shaped pattern. It is found that the mask error factor (MEF) in low-contrast regions of a layout is an important consideration in OPC.
The effect of variations in the thickness of the multilayer of a mask blank on pattern printability was examined. The multilayer was assumed to consists of 40 Si/Mo bilayers. For a given total thickness, variations in the thicknesses of the individual SI and Mo monolayers produce such a small loss in reflectance that printability remains good for both binary and attenuated phase-shifting masks, even when such variations exist. On the other hand, variations in the total thickness shift the peak of the reflectance spectrum, which degrades the reflectance on a wafer. In this case, printability for a binary mask is determined simply by the reflectance loss on a wafer, while printability for an attenuated PSM is strongly influenced by variations in total thickness because they change the phase and attenuated reflectance.
Off-axis incident light produces shadowing and an imbalance in the diffracted light. Shadowing causes a change in the critical dimension (CD) and a shift in the position of patterns due to the swing + bulk effect of the absorber and buffer layers. In addition, the imbalance in the diffracted light influences the optical proximity-effect correction (OPC) of actual patterns with a k1 below 0.6. In this study, the main factors influencing OPC were investigated. These include asymmetric aberrations and optical proximity effects (OPE) in line patterns. OPC was then applied to a T-shaped pattern. It is found that the mask error factor (MEF) in low-contrast regions of a layout is an important consideration in OPC.
The in-plane distortion (IPD) arising from the stress in the films on an EUVL mask in a flattened state was calculated using a newly devised simulation model based on 2D planar stress theory. One cause of IPD is the stress gradients in the multilayer and absorber films. Since there is no stress gradient in a large absorber pattern with uniform internal stress, the placement error was found to be extremely small in this case. Furthermore, concave or convex regions occur int the free space areas not covered with absorber film. The magnitude of the change in surface height in the detph-wise direction is 0.4 nm for an absorber stress of +/- 500 Mpa. It was found that the change in surface height could be suppressed by reducing the absorber stress. In order to improve the placement accuracy of EUVL masks, it is desirable to deposit films with a uniform internal stress during mask fabrication.
A chemically amplified negative electron beam resist process is developed for fabricating 0.25 micrometers devices 4X magnification reticles. In order to improve a critical dimension (CD) uniformity on reticles, a precise temperature controllability in post exposure baking is realized. Dry etching for Cr is also adopted for getting an appropriate pattern fidelity down to submicron on reticles to meet requirements for such an optical proximity effect correction. Under the optimized process conditions, a CD uniformity of 16 nm in 3 (sigma) is achieved on reticles. A CD linearity of down to 0.8 micrometers on the reticles is ensured as well. Actual 0.25 micrometers device reticle production results show that the CD mean variation is controlled within +/- 43 nm. It is confirmed that an advanced 4X reticle fabrication process for 0.25 micrometers device generation is realized.
A chemically amplified (CA) negative electron beam resist process is developed for fabricating 0.25 μm devices 4X magnification reticles. In order to improve a critical dimension (CD) uniformity on reticles, a precise temperature controllability in post exposure baking (PEB) is realized. Dry etching for Cr is also adopted for getting an appropriate pattern fidelity down to submicron on reticles to meet requirements for such as optical proximity effect correction. Under the optimized process conditions, a CD uniformity of 0.016 μm in 3σ is achieved on reticles. A CD linearity of down to 0.8 μm on the reticles is ensured as well. Actual 0.25 μm device reticle production results show that the CD mean variation is controlled within ± 0.040 μm. It is confirmed that an advanced 4X reticle fabrication process for 0.25 μm device generation is realized.
Influence of process latitude on exposure characteristics is systematically investigates by using exposure-defocus and mask fabrication latitude methodology. It will be shown how wafer line width tolerance contributes to influence of exposure and mask line width latitudes on depth of focus (DOF) for several elemental patterns. For three test patterns, DOF process latitude functions which describe pattern fidelity are used to evaluate influence of the process latitudes. It will be concluded that larger wafer line width tolerance significantly decreases influence of the exposure and mask line width latitudes on DOFs.
Recently, attenuated phase-shifting masks (att-PSMs) with advanced illumination technology of a modified beam illumination (MBI) become a pioneer to expand applications of i-line and KrF lithography. This technology can enhance a depth of focus even for a dense pattern layout by eliminating an undesirable secondary peak intensity which is found in att-PSMs with a normal illumination. In order to extract appropriate performance of att-PSMs with the MBI, a new systematic evaluation method using exposure-defocus and mask fabrication latitude (EDM) methodology in which all sorts of process parameters can be considered is proposed for setting att-PSM specifications. Mask transmittance and biasing are the parameters that essentially contribute to the optimum att-PSM characteristics. In this paper, first the evaluation flow using EDM methodology is explained in detail. Next, feasible ranges of mask transmittance and bias are discussed. Finally, it is shown that the mask line width uniformity significantly affects lithography performance with the att-PSMs and mask specifications of transmittance and bias.
Defect printability of attenuated phase-shifting masks for a dot defect in isolated hole and space patterns is evaluated by EDM (exposure-defocus and mask fabrication latitudes) process windows and process latitude functions which are derived from a series of EDM windows. The allowable defect size is confirmed to be 0.08 (lambda) /NA both for the hole and space patterns with the practical process latitude consideration. By the evaluation of the process latitude functions, it is found that an influence range by the dot defect is extended up to 20 times of the defect size in units on a wafer.
A fast resist image estimation methodology using light intensity distribution is proposed. Recently, some optical proximity effect correction (OPC) methods have been developed. Conventionally, to apply light intensity simulation of OPC, resist image has been simply estimated as a contour at which light intensity distribution is sliced. However, it is difficult to obtain a real resist image with this conventional method because of no consideration of actual resist process. Now, we have developed a new method which can estimate resist image with simple approximate calculation of light intensity distribution. In this method, resist image can be calculated from light intensity distribution using convolution. Using this method, calculation accuracy can be improved twice compared to that with a conventional light intensity slicing method. In this paper, the method is described in detail, then its feasibility to be applied to OPC will be demonstrated.
Practical evaluation method for optical proximity effect correction (OPC) feasibility is newly proposed using EDM (Exposure-Defocus and Mask fabrication latitude) methodology. In this method, printed image on a wafer is characterized by process latitude functions derived from EDM methodology in terms of exposure latitude, depth of focus, and mask line width latitude. In this paper, effectiveness of the process latitude functions will be verified by applying them to intraproximity effect evaluation for isolated lines and angled lines, and also to interproximity effect evaluation for T-shaped patterns and lines & spaces (L/S) patterns in i-line lithography.
EDM (Exposure-Defocus and Mask fabrication latitude) methodology has been established in order to evaluate the practical and reliable process latitudes. A parameter of mask linewidth is newly introduced in the EDM methodology as well as the conventional parameters, exposure dose and defocus, because the mask linewidth fluctuation is an important contributor to the image degradation on wafer especially for the patterns close to the resolution limit, where the wafer linewidth is nonlinear to the mask linewidth. In this paper, the algorithm of this methodology is discussed in detail by a general formula, and its feasibility is then verified by simulating 0.4 micrometers isolated contact hole imaging in the cases of binary mask with i-line, i- line/FLEX method or KrF exposure, and attenuated phase shifting mask with i-line exposure. For each application, the practical process latitude can be precisely defined in the 3D space of the exposure dose, defocus and mask linewidth latitude.
A practical attenuated phase-shifting mask (att-PSM) for 0.3 micrometers contact hole patterns with KrF excimer laser lithography has been developed by means of three important module processes which are supported by the precise process latitude evaluation using the EDM (Exposure, Defocus and Mask fabrication latitude) methodology. In order to evaluate the practical and reliable process latitude, the EDM methodology has taken into account the mask linewidth as well as the exposure dose and defocus parameters. From EDM evaluations, it has been found that the background transmittance of more than 9% and the phase error control of within +/- 3 degrees are required in order to obtain 1.5 micrometers depth of focus. The PSM feasibility has been effectively enhanced by three important module processes. First, quartz substrate engraving process for shifter pattern formation with RIE. Second, for the att- PSM inspection, the mask defect printability has been studied extensively, and the feasibility of a commercial PSM defect inspection system has been verified for several types of critical defects. Finally, for shifter protrusion defect repair, the Excess Deposition repair method has been developed with a focused ion beam, where a sufficient repair width latitude of +/- 0.13 micrometers is obtained.
The performance and practical fabrication latitude of attenuated phase shifting masks have been studied using a newly developed exposure-defocus and mask fabrication latitude (EDM) methodology in which the mask linewidth latitude is taken into account as well as the conventional estimation parameters such as the exposure latitude and depth of focus (DOF). Both isolated and dense 0.3 micrometers contact hole (C/H) patterns have been evaluated using an EDM process window which is obtained by the light intensity profiles with the KrF 248 nm exposure, NA equals 0.45 and (sigma) equals 0.3. When the practical process latitude of within +/- 5% of exposure dose and +/- 0.01 micrometers of mask linewidth are supposed, background transmittances of more than 9.00% and phase error controllability within +/- 2 degrees are required for both isolated and dense C/H patterns. The EDM window is steeply shrunk by the enhanced optical proximity effect for the dense C/H pattern at a pitch of less than 0.90 micrometers .
A phase shifting mask exposure alignment compensation method for edge enhancement (PEACE) is proposed as a newly developed rim phase shifting mask fabrication method. In the PEACE method, a compensation pattern with 180 degree phase shift against rim phase is arranged adjacent to the rim pattern. The compensation pattern can effectively reduce secondary peak intensity by a destructive interference which will bring about a considerable enhancement of the registration latitude at the second layer electron beam (EB) exposure. Two PEACE methods, PEACE-1 and PEACE-2, are introduced subject to different compensation pattern arrangements. In the PEACE-1 method, at the second layer EB exposure, the compensation pattern is formed automatically adjacent to one or two rim sides with a width proportional to registration error. For the PEACE-2 method, the compensation pattern region is originally designed peripherally around the rim pattern so as to give no change of inherent rim area even with a considerable registration error.
We focus on discussing a method to reduce the self-bias voltage and applying this method to a plasma etcher to improve reduction of ion damages. We have developed a technique that can reduce the self-bias voltage without disturbing the main plasma by using a supplemental electron flow from the powered electrode to the plasma through the sheath. We have concluded experimentally that the effects of the supplemental electron flow on the main plasma parameters is not serious and damage induced to films is reduced considerably. The experiments were carried out in an rf discharge chamber. It may be certain from the results that the disturbance on the plasma parameters created by the variable self-bias voltage is minimal. The damage to the films induced by its ion bombardment is reduced by approximately one-half, when the self-bias voltage was controlled from -120 V to -98 V.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.