We report on the reduction of the mask 3D effect in an etched 40-pair multilayer extreme ultraviolet (EUV) lithography mask by measuring the printed ΔCD (horizontal–vertical) on exposure with a high-NA small field exposure tool (HSFET). We compared these patterns with those of a conventional Ta-based absorber EUV lithography mask. Next, we examined the programmed pattern defect printability of the etched 40-pair multilayer EUV lithography mask and showed that defect printability of the etched multilayer mask was hardly influenced by the direction of EUV illumination. We conclude that the mask 3D effect reduction contributes to simple specifications of the mask pattern defect printability in EUV lithography.
Programmed defect masks (PDMs) of a tantalum-based absorber were fabricated by a conventional and improved process that decreased the mask line width roughness (LWR). The improved mask LWR decreased the minimum size of recognizable defects from 18.6 nm to 10.9 nm. The PDMs were printed on wafers and their defect printabilities were compared. The correlation coefficients of the relationship between the mask defect size and deviation of wafer critical dimension (CD) caused by the defects were calculated. A significance test of the correlation coefficients of the PDMs produced by the conventional and improved process indicated there was no significant difference between them. This means that the mask LWR did not have a significant influence on defect printability.
Multilayer defects (ML-defects) are the most specific type of defects on a mask for extreme ultraviolet (EUV) lithography. The intent of this paper has been to study the practical limits of the pattern shift technique to cover such defects by the absorber pattern. We have targeted to apply pattern shift to a 16nm half-pitch EUV single exposure, interconnect-like layer, in which absorber features are predominantly as small as 64nm at mask level. Three main contributors to successful defect coverage are the lateral size of the defect, the alignment of the mask pattern to the fiducial marks and the location accuracy of the blank defects relative to these fiducial marks. For our experimental analysis, we have used a specific approach in which, rather than explicitly targeting to cover the defects to render them non-printing, we kept the possibility to study their printability, together with the possibility to assess the achieved alignment of the mask pattern to the defectivity map of the blank. This was achieved by superimposing a dedicated marker frame, with the expected defect position at its center, onto a lines-and-spaces (l/s) pattern with 16nm half-pitch (at wafer scale). The marker frame allows to determine the deviation of the defect position from the expected one, and the printing impact of the defect on the l/s pattern can be compared to its expected behavior based on its relative position within the 32nm period. It is shown that mitigation feasibility is strongly dependent on the accuracy of the defect position information. Our results suggest targeting to improve that.
Printability estimation of blank defects on an extreme ultraviolet (EUV) mask was examined by means of actinic darkfield imaging. A dedicated mask containing 64-nm line and space pattern was fabricated on a blank with known native blank defects. Actinic dark-field images of the defects on the patterned mask were obtained, and the defect signal intensities through focus were measured. The mask was printed through focus onto a wafer with an ASML NXE3300, and the wafer critical dimension (CD) deviations caused by the defect were obtained. A significant relationship is shown between the defect signal intensity and the wafer CD deviation, corresponding well with simulations. It was thus demonstrated that the wafer CD deviation can be estimated via the defect signal intensity on the mask in the actinic darkfield image.
An EUV dark field image of a phase defect on a patterned mask was studied using simulation. The wafer images of the defect were obtained by simulation, and wafer CD deviation caused by the defect was calculated. The dark field image of the defect was also obtained by simulation, and the defect signal intensity was calculated and compared with the wafer CD deviation. There was a significant relationship between the wafer CD deviation and the defect signal intensity in the dark field image at the respective maximum in the through-focus range. Therefore, the wafer CD deviation can be estimated from the dark field image using though focus.
Improvements in the detection capability of a high-volume-manufacturing (HVM) actinic blank inspection (ABI) prototype for native defects caused by illumination numerical aperture (NA) enlargement were evaluated. A mask blank was inspected by varying the illumination NA. The defect signal intensity increased with illumination NA enlargement as predicted from simulation. The mask blank was also inspected with optical tools, and no additional phase defect was detected. All of the printable phase defects were verified to have been detected by the HVM ABI prototype.
A high-volume manufacturing (HVM) actinic blank inspection (ABI) prototype could detect a printable phase defect for 16 nm node at almost 100 % of the capture rate. However, although a printable phase defect where the aspect ratio was lower than 0.01 was hardly existed, it was not detected by the HVM ABI prototype. For the purpose that could detect the low-aspect phase defects, scattered light angle from the defect was analyzed. As the result of analysis, an enlargement of the illumination NA was found to enhance the signal intensity of a low-aspect phase defect without any significant influence to the noise signal. The illumination optics of the HVM ABI prototype was improved and the illumination NA was enlarged from 0.07 to nearly 0.1. It was demonstrated that the low-aspect phase defect became to be detectable by the HVM ABI prototype, and no negative influence to other defects was found.
A high-volume manufacturing (HVM) actinic blank inspection (ABI) prototype has been developed, of which the inspection capability for a native defect was evaluated. An analysis of defect signal intensity (DSI) analysis showed that the DSI varied as a result of mask surface roughness. Operating the ABI under a review mode reduced that variation by 71 %, and therefore this operation was made available for precise DSI evaluation. The result also indicated that the defect capture rate was influenced by the DSI variation caused by mask surface roughness. A mask blank was inspected three times by the HVM ABI prototype, and impact of the detected native defects on wafer CD was evaluated. There was observed a pronounced relationship between the DSI and wafer CD; and this means that the ABI tool could detect wafer printable defects. Using the total DSI variation, the capture rate of the smallest defect critical for 16 nm node was estimated to be 93.2 %. This means that most of the critical defects for 16 nm node can be detected with the HVM ABI prototype.
While extreme ultraviolet lithography (EUVL) is the leading candidate of the next generation lithography, the challenge of managing blank defects must be overcome before EUVL being put to practical use. Besides the efforts of manufacturing defect free blanks, the use of mitigation technique called “pattern shift” is now considered to be a more feasible solution. Whether we aim for defect free blanks or use pattern shift, however, it is quite important to understand the properties of the defects on EUV masks. Of particular interest is to distinguish phase defects from amplitude defects, and pits from bumps. To address the need to understand defect properties, the Actinic Blank Inspection (ABI) high volume manufacturing (HVM) model has acquired a review function using a 1200x magnification optics capable of accurately measuring the size and shape of defects. In this paper, we will discuss how the ABI HVM model classifies defects into pits and bumps.
The effect of phase defect on extreme ultraviolet (EUV) lithography was examined using an EUV microscope. A test mask containing periodic absorber line patterns and programmed pit phase defects embedded in a multilayer-coated mask blank was prepared, and the mask patterns were observed by the EUV microscope developed by Tohoku University and constructed at the site of a beam line of the New SUBARU of the University of Hyogo. The half pitches of the absorber patterns were 64 nm and 44 nm at mask which corresponded to 16 nm and 11 nm device generations. The programmed defects included not only square-shape defects but also rectangular-shape defects with different orientations. When a phase defect was located between two adjacent absorber patterns, then the observation image intensity of the absorber lines and spaces (L/S) patterns varied, and the impact of a phase defect was predicted as an intensity variation of bright space image. Phase defect location dependency and defect shape dependency of the observation image intensity were examined. The effectiveness of the EUV microscope to predict the phase defect impacts was confirmed.
Background level (BGL) of an actinic inspection image was analyzed. Backgrounds are referred to the lights scattered by the surface roughness of a mask blank, and BGL variations are attributed to tool-factors and mask-factors. The BGL variation due to the mask-factors was reproduced by simulation using the surface information obtained by AFM measurement. As a result of comparison between the experimental and simulated BGL intensities, the stacking structure was estimated to be the surface model; and the AFM measurement reflected only the roughness of the capping layer. Using the estimated stacking structure, mask surface roughness of 85 pm and 0.2 nm at rms was required for 11 nm and 16 nm nodes in the case of the surface model.
One of the most challenging tasks to make EUVL (Extreme Ultra Violet Lithography) a reality is to achieve zero
defects for mask blanks. However, since it is uncertain whether mask blanks can be made completely defect-free, defect
mitigation schemes are considered crucial for realization of EUVL. One of the mitigation schemes, pattern shift, covers
ML defects under absorber patterns by device pattern adjustment and prevents the defects from being printed onto wafers.
This scheme, however, requires accurate defect locations, and blank inspection tools must be able to provide the
locations within a margin of the error of tens of nanometers. In this paper we describe a high accuracy defect locating
function of the EUV Actinic Blank Inspection (ABI) tool being developed for HVM hp16 nm and 11 nm nodes.
Influence of phase defects on printed images of mask pattern was experimentally investigated by printing line patterns on wafer, with the line patterns’ half pitches of 26 nm ~ 22 nm. And the experimental results were compared with the simulation results. A test mask prepared for this experiment contained programmed phase defects of 100 nm ~ 34 nm in width and of around 1.9 nm ~1.5 nm in depth. The defects were arrayed in a way such that the pitch of the array would differ from the pitches of the absorber line pitches. Therefore, the phase defects were placed at different positions relative to those of the absorber line patterns. Mask patterns were printed on wafer using an exposure tool NXE:3100 with a numerical aperture (NA) of 0.25 and a reduction of 4X. To evaluate the printed patterns affected by the phase defects, dipole illumination was employed. The incident angle of mask illumination chief ray was 6 degrees and the direction of absorber line patterns on the mask was set parallel to the chief ray incident plane. The printed line patterns on wafer were evaluated; and the phase defect impacts on them were examined in terms of space width variation as measured by SEM. An influence of line edge roughness (LER) of the resist pattern did seem to appear in this experiment, it was difficult to analyze the critical dimension (CD) error with accuracy of better than 10 %. Although there happened to be a quantitative difference between the simulation and experimental results, the relative location dependence was quite noticeable and the effect of a phase defect was mitigated by covering the defect with an absorber pattern.
The availability of actinic blank inspection is one of the key milestones for EUV lithography on the way to high volume
manufacturing. Placed at the very beginning of the mask manufacturing flow, blank inspection delivers the most critical data set for the judgment of the initial blank quality and final mask performance. From all actinic metrology tools proposed and discussed over the last years, actinic blank inspection (ABI) tool is the first one to reach the pre-production status. In this paper we give an overview of EIDEC-Lasertec ABI program, provide a description of the system and share the most recent performance test results of the tool for 16 nm technology node.
An impact of EUVL mask surface roughness on actinic inspection was studied. The background level (BGL) of an
actinic inspection image is caused by the light scattered from the mask blank surface roughness. The BGL is found to be
proportional to the square of the mask surface roughness measured by AFM. By using this proportionality coefficient, a
global distribution of the surface roughness can be obtained at the same time while inspection a mask. On the other hand,
any local variation of BGL indicates variation of the mask surface roughness at each pixel. Assuming that the roughness
at a center pixel is 0.15 nm rms (SEMI standard specification) and those at the surrounding pixels are 0.1 nm rms, the
signal intensity at the center pixel is found to be approximately the same as that of a 1.2 nm-high and 40 nm-wide
programmed defect. In that case, CD error on a wafer image due to the reflectivity loss by the roughness is found to be
not critical. This means that the local roughness should be less than 0.15 nm rms, and that the inspection system can
detect such a local variation of the roughness with 100 % probability.
We have developed actinic inspection systems to detect multilayer phase-defects with dark field imaging. Although a
prototype system for full-field inspection is available for
half-pitch (hp) 16 nm node from the viewpoint of inspection
sensitivity, but the inspection time for such a full-field area of a mask requires 4.8 hours and hence the technique is not
viable for a high-volume manufacturing (HVM) application. To reduce the inspection time of the prototype, its signal to
noise ratio was analyzed. The result shows that an "n" times faster scanning speed would require an "n" times
enhancement of the illumination intensity for the system. The intensity was enhanced by 1.7 times, by improving the
optic efficiency of the system where full-field inspection time reduced from 4.8 hours to 3.25 hours, and where the
detection probability was also improved. These results are applied towards the development of a HVM prototype, and for
evaluating 11 nm node inspection techniques.
Because the realization of defect-free Extreme Ultra-violet Lithography (EUVL) mask blanks is uncertain, the defect
mitigation techniques are becoming quite important. One mitigation technique, "Pattern shift", is a technique that places a
device pattern to cover multilayer (ML) defects underneath the absorber pattern in such a way that the ML defects are not
printed onto wafers. This mitigation method requires the defect coordinate accuracy of down to tens of nanometers.
Consequently, there is a strong demand for a Blank Inspection tool that is capable of providing such defect coordinate
accuracy.
To meet such requirement, we have started to develop a high accuracy defect locating function as an optional feature to
our EUV Actinic Blank Inspection (ABI) system which is currently being developed aiming at HVM hp16 nm-11 nm node.
Since a 26x Schwarzschild optics is used in this inspection tool, it is quite difficult to pinpoint defect location with high
accuracy. Therefore we have decided to realize a high magnification review optics of 600x or higher by adding two mirrors
to the Schwarzschild optics. One of the additional two mirrors is retractable so that the magnification can be switched
according to the purpose of inspections. The high magnification review mode locates defect coordinates accurately with
respect to the fiducial position. We set the accuracy target at 20 nm so that the mitigation technique can be implemented
successfully. The optical configuration proposed in this paper allows both a high speed inspection for HVM and a high
accuracy defect locating function to be achieved on one inspection system.
Phase defect printability and imaging characteristics were investigated by using aerial image simulation to clarify the
phase defect impact on patterns depending on defect types, and on exposure conditions. In particular, the difference
between the impacts caused by the same size bump phase defect and pit phase defect on 28 nm ~ 16 nm L&S projected
patterns were investigated by calculating line width variations. Aerial images of phase defects in an absence of any
absorber pattern were also calculated, and the image intensity losses of the two types of defects were compared. For a
dipole illumination with 0.25 NA (numerical aperture) the pit phase defect impact was found to be stronger than the
bump phase defect impact, when the two defect widths were less than 70% of the half-pitch of L&S patterns on the mask.
This occurrence was not foreseen by the defect image calculation. On the other hand, for circular illumination with 0.33
NA, the bump defect impact was found to be stronger than the pit phase defect impact, which was consistent with the
defect image calculation results. The contribution of dipole illumination in lowering the phase defect impact was
confirmed for both bump and pit phase defects.
In this work, a simulation for actinic dark-field inspection with amplitude defects was carried out. The simulation was then
followed by experiments on actinic dark-field inspection with programmed amplitude defects. For this experiment, the
programmed amplitude defects were fabricated using EB exposure.
The simulated result showed that the intensity signal was influenced by the thickness and width of the amplitude defect.
The simulated results were then confirmed by the experiments. The tendency of the result was approximately similar to the
simulated results. However, the dependency on the two factors of defect thickness and defect width is not similar to the
simulated results. As the factors of difference, difference of defect edge angle and element of defect model expected.
A variety of phase defects (PDs) such as programmed bump and pit PDs, and native bump and pit PDs were detected by
a dark-field ABI (Actinic Blank Inspection) tool. Among the PDs, some of them seemed to grow and propagate in an
angular direction, away from substrate surface as was found by a TEM analysis. This presentation reports on the
influence of 3-D phase defect on wafer printability, and on defect detection signals of an ABI tool. The result shows that
the impact of the inclination angle on printing performance was quite significant when the PDs were not covered with
the absorber pattern. On the other hand, the defect detection signal intensity was negligibly small in the case where the
inclination angle was less than 9 degrees. However, ABI with its high magnification optics can pinpoint the PD's actual
location as defined by the EUV light, rather than the ones that are not so clearly define by the surface topography.
We had developed an actinic full-field inspection system to detect multilayer phase-defects with dark field imaging.
Regarding the actinic inspection of native defects, the influence of the defect's surface dimension and multilayer
structure, on the intensity-signal obtained from the inspection was analyzed. Three mask blanks were inspected from
which 55 defects, observed with AFM and SEM, were classified as amplitude-defects or phase-defects. The surface
dimensions and SEVDs (sphere equivalent volume diameters) of the defects were measured with the AFM. In the case
where their SEVDs were same as of the programmed phase-defects, they were found to produce stronger intensitysignals
in comparison to the ones from the programmed phase-defects. Cross-sectional multilayer structures of two
native phase-defects were observed with TEM, and those defects formed non-conformal structures in the multilayer. This
result means that most of the native phase-defects tend to form a non-conformal structure, and can make large impact on
the wafer image in comparison to the ones from a conformal structure. Besides phase-defects, the actinic inspection also
detected amplitude-defects. Although the sensitivities of the amplitude-defects were found to be lower than those of the
phase-defects, an amplitude-defect higher than 30 nm could be detected with high probability.
The key challenge before extreme ultraviolet lithography is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. We have been developing extreme ultraviolet (EUV) mask infrastructures such as a full-field actinic blank inspection tool and 199 nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of actinic blank inspection and patterned mask inspection are higher than that of wafer inspection in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199 nm wavelength patterned mask inspection tool, and electron beam (EB) wafer inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection are effective in detecting killer defects both at the main pattern and at the light-shield border area.
It is important to control the defect level of the EUV lithography mask because of pellicle-less. We studied the resist
patterned wafer inspection method using EB inspection system.
In this paper, the defect detection sensitivity of EB inspection system is quantified using hp 32 nm line and space
pattern with about 5 nm LWR (Line Width Roughness). Programmed defects of 13 nm narrowing and 10 nm widening
have been detected successfully after the optimization of column and inspection condition. Next, the defects detected by
mask inspection system and EB wafer inspection system were compared and were in good agreement for printed killer
defects. In these results, EB inspection system is proved to be useful for EUV resist inspection.
Further, we evaluated the resist material damage by EB inspection irradiation and indicated the direction of reducing
the shrinkage.
Phase defect printability and phase defect detection capability were investigated by exposure and inspection experiments,
and simulation analysis. A new test mask with absorber line patterns and programmed phase defects with sizes much
smaller than used in a previous work, was exposed using dipole illumination. Simulation results showed that printability
of phase defects at the wafer level depended not only on defects' sizes and their locations, or on the line widths of the
pattern structures to be printed, but also depended on the illumination conditions employed for pattern printing. Actinic
inspection test was also conducted using the programmed phase defect arrays formed on the test mask. Selete's upgraded
dark-field inspection tool was demonstrated to have its capability to detect a bump defect of 1.2 nm in height and 40 nm
in width at a detection probability of 90 % or larger. An extendibility of the actinic dark-field inspection to beyond half-pitch
22 nm node was also confirmed.
The key challenge before EUVL is to make defect-free masks, for which it is important to identify the root cause of
defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete
has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength
patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on
wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed
by full-field scanner EUV1, we demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI
(patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm. The evaluations were done by
comparing the detection sensitivities of full-field actinic blank inspection tool, 199nm wavelength patterned mask
inspection tool, and wafer EB inspection tool. And then, based on the native defect analysis of blank/mask, we
ascertained that actinic blank inspection and patterned mask inspection developed at Selete, are effective in detecting
killer defects both at the main pattern and at light-shield border area.
We have developed an actinic full-field inspection system to detect multilayer phase defect with dark field imaging. A
new CCD camera was installed onto the system with an objective of throughput and inspection sensitivity improvement.
As the result, the throughput was improved from 14.25 to 4.75 hours per plate, and the detection probability for 1.2 nmhigh
40 nm-wide defect was found to be 95.7 %. This means that the system has a potential of its extendibility to beyond
22 nm HP inspection.
The key challenge before EUVL is to make defect-free masks hence it is important to identify the root cause of
defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete
has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength
patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on
wafer printing.
In this paper, we evaluate the printability of multilayer defects and of absorber defects exposed by a full-field scanner
EUV1, using full-field actinic/non-actinic blank inspection tool and 199nm wavelength patterned mask inspection tool.
And based on the results of native defect analysis of blank/mask, we ascertain that blank inspection with actinic is
necessary for mask fabrication in order to reduce the risk of missing phase defects, which hardly can be detected by
patterned mask inspection tool.
We have been developing an actinic full-field mask blank inspection system to detect multilayer phase defects with dark
field imaging. Detection probability with no false detection at full-field of a mask blank was improved, and then a
probability of capturing 1.5 nm-high and 60 nm-wide defects was attained to be 100 %. A mask blank was inspected, and
a small native defect with its top dimensions of 1.1 nm in height and 20 nm in width was successfully detected. The
bottom dimensions of the smallest two defects were estimated with simulation so that the experimental and simulated
signal intensities could be correlated. Using the estimated bottom dimension, the defects were found to impact on CD of
22 nm L/S pattern. Assuming that the bottom dimension was the same as the top dimension, CD variation due to the
defects was found to be approximately half of those of the estimated bottom dimension. This means that some internal
structure within the defect is a key factor in the estimation of impact on wafer. The detection probability improvement
also attained 100% detection of the both defects.
Multilayer defects embedded in EUV mask blanks are of primary concern in making usable mask because the multilayer
defects as small as 1.5 nm in height cause phase shifts and are most likely to be printable on wafers. To detect such phase
defects, we have developed an actinic (at wavelength) full-field EUV mask blank inspection tool equipped with dark-field
imaging optics. Inspection performance was demonstrated by a full-field mask blank inspection of a test mask blank
to detect its programmed phase defects and native phase defects. A potential of detecting phase defects among the
absorber patterns was also explored by inspecting masks with dot bump defects sitting among the absorber lines and line
bump defects perpendicular to the absorber lines. For the phase defect printability study, the test mask was exposed using
an EUV exposure tool (EUV1) at Selete. Simulation of projected image was also conducted using FDTD method.
Multilayer defect printability for varying location of the multilayer phase defects relative to the absorber line patterns
were evaluated
We have been developing an actinic full-field mask blank inspection system to detect multilayer phase defects with dark
field imaging. Using the current system, we have analyzed the probability of defect detection and occurrence of false
defects with variations in defect signal intensity and in background intensity. The result indicates that the size of the
smallest defect for 100 % detection with no false defect at full-field inspection is 2.0 nm in height and 78 nm in width. A
100 % detection of smaller defects, 1.5 nm high and 60 nm wide, with no false defect at full-field inspection requires
46 % reduction of the detection threshold. This means that for further improvement of defect sensitivity, a 46 %
reduction of CCD noise level, or improvement of the defect detection algorithm, will be required.
We have developed an actinic full-field mask blank inspection system to detect multilayer phase defects with dark field
imaging. Using this system a non-commercial mask was inspected and real defects were detected by setting the system at
low false detection threshold. A 1.5 mm square area (containing no absorber) was inspected three times, and probabilities
of defect detection and false detection were evaluated. Of the total number detected, 81.5 % of them exhibited 100%
percent probability of detection, while 0.8 % of them indicated false detection. The same area was also inspected with a
conventional inspection system, and both inspection results then were compared. Among the defects detected, 94 % of
them could be detected only with the actinic system, while 1.1 % of them could be detected only with the conventional
laser-based inspection system. The detected defects were observed with AFM and SEM. In summary, phase defects
smaller than 100 nm could be detected only with the actinic system, while particles smaller than 200 nm could be
detected only with the conventional system.
We have developed an actinic full-field inspection system to detect multilayer phase defects with dark field imaging capabilities. With this inspection system programmed phase defects on a mask blank were observed, and their image quality was compared with that from a proof of concept (POC) tool. The maximum intensity of normalized defect signal with the inspection system is 3.1 times larger and the full width at the half maximum (FWHM) of the defect signal is 13 % narrower than those with the POC tool. And therefore, the image quality of this inspection system is considered to be superior to that of the POC tool. In the case where the defect image is captured with stage scanning using time delay integration (TDI) method, degradation of defect signals caused by stage scanning is evaluated. With a scan velocity of 1mm/s, the defect signal indicates a 19 % decrease in the maximum intensity and a 13 % extension to the FWHM as compared with those from the static mode. We believe that this degradation of defect signal is caused by the variation of scan velocity and yawing of the scan stage. The relation between defect sensitivity and scan velocity is analyzed with signal to noise ratio (SNR). As a result, a scan velocity of 1mm/s plays a role that is barely sufficient to detect a 1.5 nm-high 60 nm-wide defect with no false defect detection. Noise reduction of CCD or improvement of inspection algorithm will be required.
We have developed an actinic full-field EUV mask blank inspection tool that consists of an EUV light source, a 26X Schwarzschild optics for dark-field imaging, an EUV-sensitive backside-illuminated charge-coupled-device (BI-CCD) camera, and a mechanical mask stage with a stroke range of 160 mm. A critical illumination system is employed by setting ellipsoidal and plane mirrors to illuminate an area of mask blank that is to be inspected. Since in this setup a circular area on the mask blank with approximately 0.8 mm diameter is illuminated, a 0.5×0.5 mm2 square image area can be addressed without moving the mask stage. The inspection tool can also be operated under time delay and integration (TDI) mode by scanning the mask stage with a constant velocity. In spite of comparatively large effective pixel size of 500 nm on the mask blank, small defect-to-pixel ratio such as 0.12 for phase defect of 60 nm in width and 1.5 nm in height, was established as a measured value of defect detection sensitivity by using both static imaging mode and time-delay and integration (TDI) operation mode.
We have developed an actinic full-field inspection system to detect multilayer phase defect with dark field imaging. With
this system, programmed phase defects on a mask blank were observed. The system can detect phase defects caused by a
1.5 nm high and 60 nm wide protrusion on a multilayer surface. Background intensity and signal to background ratio
(SBR) of the observed defect images are analyzed with simulation. The background intensities were calculated with the
model that it is generated by light scattered from mask surface roughness. The result indicates that the larger outer NA
(numerical aperture) leads to an increase in the background intensity. In this correlation of NA with the background
intensity, the calculation and experimental results correspond well. The defect images were simulated using the point
spread function (PSF) of flare generated by mirror surface roughness employing Fourier technique. The SBRs of
simulated defect images corresponded well with the SBRs of the observed images. These results support the calculation
and simulation models are proper.
The impact of mask blank surface roughness and mirror surface roughness on the defect inspection signal is presented.
The power spectrum density (PSD) of the roughness is assumed to be inversely proportional to the square of the spatial
frequency. The PSD was estimated based on the mask blank surface roughness (rms), and then the background intensity
was calculated using the PSD of the spatial frequencies between the inner and outer NA. The results indicate that the
larger outer NA leads to an increase in the background intensity, and that a mask blank roughness of 0.15 nm generates a
background intensity of 0.15 - 0.23 %. We also analyzed the effect of the mirror surface roughness on the background
intensity and on the defect signal contrast. A point spread function (PSF) of scattered light from the mirror surface was
calculated using the estimated PSD, and the defect images were simulated for the inspection optics by employing Fourier
technique. The degradation of defect images caused by the mirror roughness was calculated by using the convolution of
the PSF with the simulated images. Based on the results, it is concluded that the roughness has a large impact on the
maximum intensity of the defect signal but has little effect on the background intensity. It was also learned that the
degradation rate of the defect signal contrast is approximately proportional to the square of the mirror roughness.
An immersion microscope with high NA condenser lens is evaluated. The effects of high NA condenser lens are studied
with simulation and experiment. The one effect is CD linearity improvement. We have already reported that our
calibration method improves CD linearity of an immersion microscope. The simulation result indicates the high NA
condenser lens improves the accuracy of the calibration method. The other effect is CD repeatability. The experimental
result demonstrates the high NA condenser lens reduces the peak of intensity profile and improves CD repeatability. As
the result, an immersion microscope with high NA condenser lens is available for CD measurement of 45 nm generation
masks.
A new calibration method for critical dimension (CD) linearity improvement with an immersion microscope is proposed. Correlation tables of an edge position against CD of the clear pattern and CD of the dark pattern are obtained experimentally. The detected edge position is calibrated with the correction tables. Distance between the calibrated edge positions is output as CD. The experiment result indicates the calibration method improves CD linearity of an immersion microscope. CD repeatability with the calibration method using an immersion microscope is found to be sufficient for 45nm HP masks. As a result, an immersion microscope with our calibration method is available for CD measurement of 45 nm HP masks.
KEYWORDS: Critical dimension metrology, Scanning electron microscopy, Deep ultraviolet, Microscopes, Photomasks, Cadmium, Opacity, Semiconducting wafers, Electron microscopes, Atomic force microscopy
Sidewall effects on critical dimensions (CD) of photomasks are studied. CDs of patterns are measured by a scanning electron microscope (SEM) and a deep-UV microscope. CDs of the patterns are also calculated by an aerial image simulation. The sidewall effects on the measured CDs are compared with effects on the calculated CD. The results indicate that the sidewall effect on CDs by SEM differs from the effect on the aerial image, and that the effect on CDs by a deep-UV microscope corresponds to the effect on the aerial image. The factor of the sidewall effect on an aerial image is studied using an electric field calculation simulator. The result reveals that the factor is transmissivity. SEMs have no information about transmission, and therefore the effects differ from each other. A deep-UV microscope employs transmitted light just like a wafer aligner, and therefore the effects correspond to each other.
Linearity improvement for critical dimension (CD) measurement of a photomask by the simulation assist (SA) method with a deep-UV microscope is proposed. In the conventional method, if the measurement pattern is resolved insufficiently with a deep-UV microscope, the CD cannot maintain linearity to the actual pattern size. In the SA method, the insufficient resolution is canceled by the actual image and the simulated image, and therefore the CD can maintain linearity even if the pattern is resolved insufficiently. The experiment result indicates that the SA method improves CD linearity of the conventional method; furthermore, it improves repeatability of hole patterns.
Since higher Critical Dimension (CD) accuracy on mask is required, there is a need to optimize CD definition for lithography. The conventional CD definition is based on the cross-sectional profile of mask pattern, but the cross-sectional profile does not reflect aerial image on wafer. Therefore, CD definition based on aerial image on wafer is preferable to the cross-sectional profile. We formulated a CD definition that reflects aerial image on wafer. In our definition, CD is called CDad. There are two types of CD measurement equipment: top view type such as CD-SEM, and transmitted light type such as deep-UV microscope. By simulation and experiment, we evaluated CD of top view and CD of deep-UV microscope to obtain CDad. The results show that CDad can be obtained with deep-UV microscope, but not to top view. Deep-UV microscope is available for CD measurement of 0.11 and 0.13 um generation masks.
Shrinking device design rule, lithography requires more rigid CD accuracy on a mask. In most cases, cross sectional profiles are not uniformed on a chromium mask. Cross sectional profiles influence not only CD value but also aerial image on wafer. Suitable CD value for lithography is the same influenced one as aerial image. Therefore we studied this influence, and evaluated which CD value is suitable for lithography. As our results, CD result of DUV microscope is most suitable for 0.13 and 0.15 micrometers lithography.
We have developed a new CD measurement method for a chromium pattern on a photomask. Using the scanning confocal laser microscope, we can not only measure CD of a chromium pattern, but also predict width of the chromium pattern tail. Using a scanning confocal laser microscope, we can obtain a reflective intensity profile. We can observe the minima in the profile of a chromium pattern. The position of the minimum almost corresponds to the pattern edge, in spite of a little offset. As a result of our analysis, there is a correlation between the offset and the chromium tail width, and the correlation depends on wavelength of a laser. Using two profiles with two wavelengths, we can obtain the chromium bottom width and the chromium tail width by two equations. By comparing the results of our method and the result of cross-sectional SEM observation, we have confirmed they are god agreement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.