In this work, we investigate the Negative Tone Develop (NTD) process from a fundamental
materials/process interaction perspective. Several key differences exist between a negative tone develop
process and a traditional positive tone develop system. For example, the organic solvent dissolves the
unexposed material, while the deprotected resist remains intact. This causes key differences in key
patterning properties, such as pattern collapse, adhesion, remaining resist, and photoresist etch selectivity.
We have carried out fundamental studies to understand these new interactions between developer and
remaining resist with negative tone develop systems. We have characterized the dynamic dissolution
behavior of a model system with a quartz crystal microbalance with both positive and negative tone solvent
developers. We have also compared contrast curves, and a fundamental model of image collapse. In
addition, we present first results on Optical Proximity Correction (OPC) modeling results of current
Negative Tone Develop (NTD) resist/developer systems.
A simple analysis of aerial image quality reveals that negative tone imaging is superior to positive tone for
small dimension contacts and trenches. Negative Tone Development (NTD) of positive chemically amplified (de-protecting)
photoresist is currently the favored method for realizing such images on the wafer.
When experimental process windows are determined for NTD systems, it is apparent that the results far exceed
the upper limit predicted using current physical modeling. Since real data transcends the capabilities of the current model
to predict, some important physical process is clearly missing. In this work, we explore whether resist shrinkage during
PEB can account for the observed discrepancies. Two very simple shrinkage models are developed and tested. Results
show that shrinkage in the vertical direction explains some profile artifacts observed in actual NTD processes but has
negligible impact on conventional positive tone processes. The horizontal shrinkage model reveals that this type of
phenomenon can significantly increase the exposure latitude of a negative tone process but has marginal impact on
positive tone exposure latitude only introducing a small CD offset.
While horizontal shrinkage does enhance exposure latitude appreciably, the effect does not seem large enough
on its own to account for the entire increase observed in the experimental results. Further work is ongoing to investigate
other potential mechanisms for observed behavior.
The effect of solvent developers on the negative tone development process was studied in both dry and immersion 193
nm lithography. While acetate-based solvent developers yielded sharp thickness contrasts with a minimum resist
thickness loss, severe missing contact holes were observed under lower image-log slope conditions. In contrast, ketone-based
solvent developers yielded excellent contact hole performance under poor aerial image conditions without the
formation of missing contact holes. However, it was observed that ketone-based solvent developers can cause poor
thickness contrasts with more resist thickness loss. By using additives in the ketone-based developers, it was possible to
tailor various resist performance parameters such as photospeeds, critical dimension uniformity, resist thickness
retention, and dissolution rate contrasts. It was found that higher dissolution rate contrast can give better uniformity in
the critical dimension, better thickness retention and less missing contact holes.
The implementation of double patterning processes in 193 immersion lithography is moving forward. The industry is
examining several methods of producing robust double pattern images. These methods include thermal cure resists and
the use of a spin on chemical to cure the layer 1 resist image. Thermal cure resist systems require fewer processing steps
than a chemical curing process. An effective thermal cure process improves process throughput, reduces chemical costs
and reduces process complexity In either case, producing wafers with adequate CD Uniformity (CDU) relies on the
ability of the layer 1 resist to remain inert during subsequent processing steps.
The goal of this paper is to isolate and optimize the critical processing steps using thermal cured resists in order to
improve CDU. The system includes a layer 1 thermal cured resist and a traditional layer 2 resist. Processing was done
using a TEL Lithius I+ and an ASML XT Twinscan 1900i. The feature of interest is a 42 nm x-Hatch contact hole
produced by horizontal lines exposed with layer 1 and vertical lines exposed with layer 2.
To extend immersion based lithography to below 32nm half pitch, the implementation of Double-Patterning
lithography requires that cost be contained by as many means possible. In addition to CDU and defectivity, simplifying
the process flow is a viable approach to helping accomplish cost containment. For Litho-Litho-Etch processes, this
entails replacing the flows that require spin-on chemical freeze with a solely thermally cured resist approach, thereby
eliminating materials and several process steps from the flow. As part of ongoing efforts to allow Double-Patterning
techniques to further scale semiconductor devices, we use DETO (Double-Expose-Track-Optimized) methods for producing pitch-split patterns capable of supporting 16 and 11-nm node semiconductor devices. In this paper we present the assessment from a series of thermal cure double-patterning resist systems; with a focus on process latitude, CDU, and resolution limit.
By combining chemical and thermal curing techniques, we developed a simple "hybrid" curing system which offers
precise CD control of the first patterns after double patterning. This hybrid curing system involves thermal curing
followed by a liquid rinse process using a double patterning primer (DPP). DPP is an aqueous solution formulated with
surface curing agent (SCA) components and enhances "positive" interaction between L1 and L2 patterns. Taking
advantage of the CD growth with DPP treatment, we further developed three advanced patterning schemes: 1. "Shrink
Process Assisted by Double Exposure" (SPADE I), 2. "Space Patterning Assisted by Double Exposure" (SPADE II), and
3. "Sidewall Patterning Assisted by Double Exposure" (SPADE III). Using SPADE I, contact hole CD was reduced by
10~30nm and excellent through pitch performance was achieved. Using SPADE II, the first example of self-aligned
double patterning of contact holes has been demonstrated. After SPADE II, the contact hole pitch was reduced by 30%.
A novel method was developed to form sidewalls on the existing patterns using SPADE III. The 2D sidewall patterning
with contact holes was demonstrated and ~40nm sidewalls were formed using SPADE III. This can also be applied to
form sidewall patterns on line and space patterns to self-aligned double patterning of lines. In this paper, our recent
progress with SPADE technology is described and its potential use in the advanced patterning schemes is discussed.
The CD control of the first lithography (L1) patterns is a important issue in the single-etch double patterning (SEDP)
process. In this process, L1 patterns are cured either chemically or thermally and then subjected to the second
lithography (L2). A chemical curing process using a surface curing agent (SCA) often results in the CD growth due to
the "positive" interaction between the first and second resists. A thermal curing process using a thermal cure resist
(TCR) often results in the CD loss due to the volumetric shrinkage of the L1 patterns during the L2 process. By
combining SCA and TCR concepts, we developed a simple "hybrid" curing system which offers precise control of the
L1 CD after double patterning. This hybrid curing system involves thermal curing followed by a liquid rinse process
using a double patterning primer (DPP). DPP is an aqueous solution formulated with SCA components and enhances
"positive" interaction between L1 and L2 patterns. While CD loss of 5~6nm is observed without DPP treatment, ~11nm
CD growth was observed with TCR after DPP treatment. The L1 CD after double patterning was precisely controllable
by post-priming bake process with the rate of -0.3nm/°C in the temperature ranging from 120 ~ 150°C. Taking
advantage of the CD growth with DPP treatment, we further developed three different advanced patterning schemes: 1.
"Shrink Process Assisted by Double Exposure" (SPADE I), 2. "Space Patterning Assisted by Double Exposure"
(SPADE II), and 3. "Sidewall Patterning Assisted by Double Exposure" (SPADE III). Using SPADE I, contact hole CD
was reduced by 10~30nm and excellent through pitch performance was observed. SPADE I can also improve
LER/LWR when used in the formation of smaller trenches. SPADE II was developed for self-aligned pitch splitting of
contact holes and SPADE III was developed for self-aligned pitch splitting of lines. In this paper, the use of DPP in
various SPADE technologies is described and its potential in the advanced patterning schemes is discussed.
Two different pattern curing techniques were developed to stabilize first lithographic images for the single-etch double
patterning process. The first method uses a surface curing agent (SCA) that is coated on top of the patterned surface to
form a protective coating layer during the curing bake process. It was found that the surface curing process with SCA
offers minimum CD changes before and after the double patterning process. Virtually no CD change was observed with
the first lithographic images at various curing bake temperatures ranging from 120 ~160°C indicating the curing reaction
is limited on the patterned surface. The second method uses a thermal cure resist (TCR) that is a special 193nm
photoresist with a crosslinkable functional group to form an insoluble network upon heating at higher temperature. A
single-step curing process of the first lithographic images was achieved using TCR by baking the patterned images at
180°C for 60sec. A cross-line contact hole double patterning method was used to evaluate these two different curing
techniques and both SCA and TCR successfully demonstrated their capability to print 45nm contact holes with excellent
CD uniformity in immersion lithography (1.35NA) with a 45nm half pitch mask. It was also confirmed that both SCA
and TCR can be extended to the top-coat free immersion double patterning process using an embedded barrier layer
technique.
It was found that the structure of a matrix polymer has strong influence on the PEB sensitivity of 193nm photoresists. As reported, photoresists containing CO polymers exhibited superior property in terms of PEB sensitivity to photoresists formulated with more popular 193 nm photoresist polymers such as VEMA, COMA and methacrylates. In addition, CO polymers exhibited little variation (< 1 nm/°C) in PEB sensitivity when formulated with different PAGs and/or bases. VEMA polymers exhibited PEB sensitivity in the range of 4 ~ 6 nm/°C. VEMA polymers with less leaving group (or lower blocking ratio) exhibited lower PEB sensitivity, but the nature of a leaving group (i.e., lower or higher temperature leaving groups) had little effect on PEB sensitivity. The most pronounced effect was found with functional monomers. For example, VEMA polymers prepared with novel functional monomers exhibited PEB sensitivity in the range of 3 ~ 4 nm/°C. Photoresists formulated with methacrylates exhibited significant variation in PEB sensitivity ranging from 4 ~ 15 nm/°C depending on the backbone chemistry and composition. For instance, with lower blocking ratio as well as lower temperature leaving group, PEB sensitivity of methacrylates were significantly improved by 40~45%. Again, the most pronounced effect was found with functional monomers with methacrylates and PEB sensitivity of methacrylates with novel monomers resulted in the range of 3 ~ 5 nm/°C.
Surface roughness of 193 nm resists after a dry etch process is one of the critical issues in the implementation of 193 nm lithography to sub- 100 nm technology nodes. Compared to commercial 248 nm resists, 193 nm photoresists exhibit significant roughness especially under the etch conditions for dielectrics, such as silicon dioxide and silicon nitride. While AFM analysis of DUV resists exhibit the mean roughness (Ra) of ~1 nm after blanket oxide etch, Ra’s of 193 nm resists were found to be in the range of 4 to 7 nm depending on the chemical structure of the resist backbone. In an effort to develop 193 nm resists with improved post-etch roughness (PER), we carried out exhaustive screening of the available 193 nm resist platforms using bulk oxide etch followed by AFM analysis of the resist surface. Benchmarking results indicated that cyclic olefin copolymers, prepared by vinyl addition copolymerization of norbornene derivatives, exhibit significantly better PER than (meth)acrylic copolymers, cyclic olefin/maleic anhydride (COMA) copolymers, or COMA/(meth)acrylic copolymers (COMA hybrid). In this paper, are addressed various factors that influence PER of 193 nm resists and presented solutions to overcome etch inferiority with 193 nm resists for the real device fabrication.
There are numerous methods being explored by lithographers to achieve contact holes below 100nm. Regarding optical impact on contact hole imaging, very high numerical aperture tools are becoming available at 193nm (as high as 0.9) and various optical extension techniques such as assist features, focus drilling, phase shift masks, and off-axis illumination are being employed to improve the aerial image. In this paper, the impact of the ArF photoresist is investigated. Polymers capable of thermal reflow of larger (~140nm) to smaller (90nm and below) contact holes are presented. Improved materials to achieve the properties necessary for good contact hole imaging for standard single layer resist (SLR) processing are also discussed. State-of-the-art ultra-thin resists (UTR) for contact holes and 193nm bi-layer resist systems are also studied as viable techniques to achieving very small contact holes.
Fluorinated dissolution inhibitors (DIs) for 157 nm lithography were designed and synthesized as part of an ongoing study on the structure/property relationships of photoresist additives. The problem of volatilization of small DI candidates was observed from matrices such as poly(methyl methacrylate) (PMMA) and poly(hexafluorohydroxy-isopropyl styrene) (PHFHIPS) during post-apply bake cycles using Fourier Transform Infrared Spectroscopy (FT-IR). To avoid this problem, low volatility fluorinated inhibitors were designed and synthesized. Three fluorinated DIs, perfluorosuberic acid bis-(2,2,2,-trifluoro-1-phenyl-1-trifluoromethyl-ethyl) ester (PFSE1), perfluorosuberic acid bis-[1-(4-trifluoromethyl-phenyl)-ethyl] ester (PFSE2) and a fluorinated phenylmethanediol diester (FPMD1), largely remained in a PHFHIPS film during the post-apply bake. The dissolution behavior of the two fluorinated diesters was studied and found to slow down the dissolution rate of PHFHIPS with inhibition factors of 1.9 and 1.6, respectively. The absorbance of PHFHIPS films containing 10 wt% of the diester inhibitors is 3.6 AU/micron compared with an absorbance of 3.3 AU/micron for the polymer itself. The absorbance of 10% FPMD1 in PHFHIPS was measured as 3.5 AU/micron compared with an absorbance of 3.4 AU/micron for the polymer itself. Thus, the non-volatility and transparency of the fluorinated inhibitors at 157 nm as well as their ability to reduce the development rate of fluorinated polymers make them suitable for use in a 157 nm resist system.
Hexafluoroisopropyl alcohol-functionalized acrylate monomers and their (co)polymers were prepared as photoresist platforms for 157 nm imaging. In order to balance transparency with other desirable traits such as etch resistance, we developed several copolymer systems. One is using 2-methyl adamantyl methacrylate as a comonomer, and the copolymer system showed better dissolution contrast compared to the copolymer with tetrahydropyranyl methacrylate without sacrificing transparency. To further improve the absorption properties at 157 nm, monomers having (alpha) -trifluoromethyl group were prepared and polymerized in anionic mechanism. The product polymer was unexpectedly transparent at 157 nm (A = 1.6 micrometers -1) in spite that all the monomers contain carbonyl group. The second system is the copolymer with p-t-butoxy-tetrafluorostyrene. p-Hydroxy-tetrafluorostyrene and p-t-butoxy-tetrafluorostyrene were polymerized radically using AIBN in good yield, and the two resulting polymers showed distinctive solubility differences in aqueous base solution. Finally, this paper describes the synthesis of new monomers having fluorine (e.g CF3- group) in the vicinity of the double bond to improve transparency at 157 nm. Due to the lower electron density of the double bond, these monomers can be copolymerized with electron-rich vinyl monomers using radical initiators.
Poly(vinyl alcohol-co-(alpha) -trifluoromethyl vinyl alcohol) (PVA-co-CF3PVA) protected with an acid cleavable group was prepared as a single-layer photoresist for use in 157 nm VUV lithography. It was found that the (alpha) -trifluoromethyl substituent renders PVA-co-CF3PVA readily soluble in 0.262 N TMAH. The protected polymer can be spin-coated from PGMEA and preliminary studies using 248 nm exposure showed a THP protected PVA-co-CF3PVA undergoes chemically amplified deprotection with a clearing dose of approximately 15 mJ/cm2. Using a VUV spectrometer, absorption coefficients of approximately 3 micrometer-1 were observed at 157 nm with PVA-co-CF3PVA and THP protected PVA-co-CF3PVA. Detailed lithographic evaluation of the polymer is underway and design strategies for 157 nm single-layer photoresists will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.