Paper
23 March 2006 LPP EUV source development for HVM
Björn A. M. Hansson, Igor V. Fomenkov, Norbert R. Böwering, Alex I. Ershov, William N. Partlo, David W. Myers, Oleh V. Khodykin, Alexander N. Bykanov, Curtis L. Rettig, Jerzy R. Hoffman, Ernesto Vargas, Rod D. Simmons, Juan A. Chavez, William F. Marx, David C. Brandt
Author Affiliations +
Abstract
This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, intermediate-focus (IF) metrology, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. Results from several full-scale prototype systems are discussed. In addition, a multitude of smaller lab-scale experimental systems have also been constructed and tested. This paper reviews the latest experimental results obtained on these systems with a focus on the topics most critical for an HVM source. Laser produced plasma systems have been researched as probable light source candidates for an EUV scanner for optical imaging of circuit features at 32nm and beyond nodes on the ITRS roadmap. LPP systems have inherent advantages over alternative source types, such as Discharge Produced Plasma (DPP), with respect to power scalability, etendue, collector efficiency, and component lifetime. The capability to scale LPP power with repetition rate and modular design is shown. A path to meet requirements for production scanners planned well into the next decade is presented. This paper includes current testing results using a 320mm diameter near-normal-incidence elliptical collector, the first to be tested in a full-scale LPP system. With the collector in-situ, intermediate focus (IF) metrology capability is enabled, and data is presented that describes the quality of light at IF.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Björn A. M. Hansson, Igor V. Fomenkov, Norbert R. Böwering, Alex I. Ershov, William N. Partlo, David W. Myers, Oleh V. Khodykin, Alexander N. Bykanov, Curtis L. Rettig, Jerzy R. Hoffman, Ernesto Vargas, Rod D. Simmons, Juan A. Chavez, William F. Marx, and David C. Brandt "LPP EUV source development for HVM", Proc. SPIE 6151, Emerging Lithographic Technologies X, 61510R (23 March 2006); https://doi.org/10.1117/12.656687
Lens.org Logo
CITATIONS
Cited by 16 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Tin

Plasma

Lithium

Extreme ultraviolet lithography

Mirrors

Ions

RELATED CONTENT

Laser-produced plasma light source for EUVL
Proceedings of SPIE (March 22 2010)
Kinetic simulation of debris from an LPP EUV source
Proceedings of SPIE (March 18 2009)
High repetition rate LPP source facility for EUVL
Proceedings of SPIE (March 22 2007)

Back to Top