Paper
18 March 2016 EUV high-NA scanner and mask optimization for sub-8nm resolution
Author Affiliations +
Abstract
EUV lithography for resolution below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range of 100 wafers per hour is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently the shadowing and the variation of the multi-layer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4x magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value significantly below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing the transmission of the optics as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution. The proposed 4x/8x magnification is not the only logical solution. There are potentially other magnifications to increase the scanner performance while at the same time reducing the m ask requirements.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Jan van Schoot, Koen van Ingen Schenau, Gerardo Bottiglieri, Kars Troost, John Zimmerman, Sascha Migura, Bernhard Kneer, Jens Timo Neumann, and Winfried Kaiser "EUV high-NA scanner and mask optimization for sub-8nm resolution", Proc. SPIE 9776, Extreme Ultraviolet (EUV) Lithography VII, 97761I (18 March 2016); https://doi.org/10.1117/12.2220150
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Semiconducting wafers

Imaging systems

Extreme ultraviolet

Scanners

Neodymium

RELATED CONTENT

EUV lithography scanner for sub-8nm resolution
Proceedings of SPIE (March 16 2015)
Evolving optical lithography without EUV
Proceedings of SPIE (March 26 2015)
EUV High NA scanner and mask optimization for sub 8...
Proceedings of SPIE (November 16 2015)
NXE pellicle offering a EUV pellicle solution to the...
Proceedings of SPIE (March 18 2016)
EUV mask simulation for AIMS
Proceedings of SPIE (December 17 2003)

Back to Top